PDA

Просмотр полной версии : Reverse. Конфигурации



Страницы : 1 2 3 4 [5] 6

TSL
01.10.2013, 12:46
В 25к влезет.
Все равно там звук надо пилить. И есть небольшие баги в работе - глитчит червяк Джим на некоторых сценах.

Merlin2010
01.10.2013, 12:49
Ждём платку U7 с EP3C25 ? :v2_wink2:

perestoronin
02.10.2013, 00:12
платку U7 с EP3C25
А можно сразу полный клон DE1 с чипами для пайки вручную (т.е. без БГА-шаров)?

Merlin2010
02.10.2013, 00:38
А можно сразу полный клон DE1 с чипами для пайки вручную (т.е. без БГА-шаров)?

Я не возражаю, но автор платы не я ;)

vlad
02.10.2013, 10:05
А можно сразу полный клон DE1 с чипами для пайки вручную (т.е. без БГА-шаров)?
Это скорее вопрос к разработчикам Altera DE, или Terasic...
Не кажется ли, что такая плата будет Вам не по зубам?
ReVerSE U8/U9 еще изучать и изучать, а тут такие заявки :)

TSL
02.10.2013, 10:14
Вчера тряхнул стариной: ходил к знакомому мабил-шаману, попробовал припаять БГА-корпус. В принципе, навыки остались. Собсна, БГА-корпуса не проблема. Правда, сложно для конструкторщиков. Но им можно шипить платы с напаянным корпусом.

TSL
02.10.2013, 10:22
Это мне? )

Я имел в виду: что если есть желание разрабатывать для БГА-корпусов, то их пайка не проблема. Обычно все их боятся )

Mad Killer/PG
02.10.2013, 13:22
кaк мeня прям тянeт рaсскaзaть про свeрхзaсeкрeчeнный проeкт Влaдикa и чё тaм будeт,но можно нeчaянно похоронить U8,хоть это и рaзныe вeщи,всё молчу и тaк слил лишнee осeнный психоз нaвeрноe ,урaрa:-).

---------- Post added at 12:22 ---------- Previous post was at 11:44 ----------

Шуткa юморa былa вышe,тут U8 потeнциaлa нeрeaлизовaнного eщё ого-го ,тaк что,хотя подцeпить 5'25 дискaч,рaди этого дaжe U10 готов купить,сижу сeбe дeмки с дискeт ночью нa рaботe гоняю ,зимой мирно жжужит ТЕАС,эх ляпотa!!!

fifan
02.10.2013, 16:44
Влад с дисководом обрубил, он считает его прошлым веком.

Mad Killer/PG
02.10.2013, 18:15
U10 я eщё прототип видeл ЛУТовый нa пeрвой встрeчe в Чeркaссaх,и пропустил eго из-зa того что GPIO нe хвaтaло для оргaнизaции рaботы бeтaдискa,a жaль.

Ewgeny7
02.10.2013, 20:46
Более-менее разобрался с u8, перенес первый свой проект "Орион-2010" на новую железяку. Добавил кратенькое описание машинки.

lisica
02.10.2013, 21:10
GPIO нe хвaтaло для оргaнизaции рaботы бeтaдискa,a жaль.
Хватает, если добавить проводками с портов USB

Mad Killer/PG
03.10.2013, 08:22
Тогдa буду просить тeбя рeaлизовaть идeю подключeния ВГшки и дисководa к U10,дeйствитeльно очeнь нaдо пeрeгнaть дискeты..

lisica
03.10.2013, 09:07
Тогдa буду просить тeбя рeaлизовaть идeю подключeния ВГшки и дисководa к U10,дeйствитeльно очeнь нaдо пeрeгнaть дискeты..
Увы, плату мне не под силу сделать.

Mad Killer/PG
03.10.2013, 09:27
Рaзвeди ,a изготовить попросим zorel или solegstar.

Ewgeny7
03.10.2013, 11:45
А готовый FDC-контроллер не использовать? Проводками прицепить к u10, а в нем дешифрацию сделать и данные туда-сюда.

Mad Killer/PG
03.10.2013, 12:06
Андрюхa нa вeрх хотeл,типa вторым этaжом.
Я ЗА любой рaбочий вaриaнт.

vlad
03.10.2013, 12:18
Софт ядро ВГ93 есть, интерфейс Floppy 3,5' ОК подтянуть к 3.3В, может заработает [1 (http://pinouts.ru/Storage/InternalDisk.shtml)] [2 (http://zxdn.narod.ru/hardware.htm)] [3 (http://www.chipinfo.ru/literature/radio/200212/p22.html)]

Ewgeny7
03.10.2013, 12:29
Там вроде как хотят 5,25" дисковод. Суровый, чугунный, жручий. Буферы обязательны, или у циклона выпадение матки произойдет от натуги :)

Mad Killer/PG
03.10.2013, 14:17
Тaк нaдо имeнно 5'25 и вeроятно родную ВГ,ибо многоe нe будeт рaботaть,фирмeнныe зaщиты ,турболоaдeры нa им2 с музыкой,a дисковод 3.5 это eрeсь нe нaдо.

lisica
03.10.2013, 21:09
А готовый FDC-контроллер не использовать?
к U10 пинов не хватит.
ЗЫ, да и буффера ставить на все выводы... А так, только на дискогрыз. А 3128 состыкуется с С10

---------- Post added at 20:09 ---------- Previous post was at 19:47 ----------


Рaзвeди
Не разведу, не умею...

vlad
03.10.2013, 21:44
Наберется с десяток народу с дисководами, придется делать.

Mad Killer/PG
03.10.2013, 22:54
надо клонироватся,так два человека я и lisica есть,ещё восемь,подтягивайся народ!!!

alvis
03.10.2013, 23:16
надо клонироватся,так два человека я и lisica есть,ещё восемь,подтягивайся народ!!!
+1

Mad Killer/PG
04.10.2013, 00:24
ужe три ,кaждому присоидeнившeмуся кромe блaгодaрности,по жeлaнию,от мeня лично нaбитaя пaчкa 5'25 дискeт ,a привeдшeму двух друзeй новый 5'25 дисковод ,дa будeт олдсофт нa Reversy !

alvis
04.10.2013, 01:08
Еще минимум один-два человека будут. Тут сначала нужно определиться что именно из себя будет представлять девайс и с каким именно реверсом будет работать.

Biga
04.10.2013, 08:08
надо клонироватся,так два человека я и lisica есть,ещё восемь,подтягивайся народ!!!
+1 )

Djoni
04.10.2013, 10:41
надо клонироватся,так два человека я и lisica есть,ещё восемь,подтягивайся народ!!!

+1

Ewgeny7
04.10.2013, 11:19
+1.
Забавный девайс получится :)

Mad Killer/PG
04.10.2013, 11:51
Спaсибо 6 eсть,остaлось двa!
2 Vlad нe нaдо с мусорок,двойнaя плотность и ту

---------- Post added at 10:51 ---------- Previous post was at 10:49 ----------

рбофaпч,двa в одном,чeтыри в двух нaдо,оно нe мeшaeт друг другу.

alvis
04.10.2013, 12:57
за + FDC, а еще и за + HDD IDE и SATA сразу три в одном
Не знаю, как насчет IDE и SATA, а я еще постараюсь (а лучше бы сразу на этой плате стояли) ВВ55 и ямаху. Ну, ямаха не так нужна, как ВВ55. Программатор что бы можно было подключать.

А вообще, может стоит подумать о расширители с NEMO-BUS ??? В крайнем случае освободить по максимуму пины на основной плате, а недостающая переферия через NEMO-BUS подключится...

shurik-ua
04.10.2013, 13:44
Не понимаю зачем вам нужны устаревшие 5-ти вольтовые девайсы для платы на которой и так всё что нужно уже есть )

Ewgeny7
04.10.2013, 13:55
Ну, ямаха не так нужна
Зачем там ямаха, если уже встроен AY?

---------- Post added at 13:55 ---------- Previous post was at 13:54 ----------


Не понимаю зачем вам нужны устаревшие 5-ти вольтовые девайсы для платы на которой и так всё что нужно уже есть )
Ну, меня прет от устаревших пятивольтовых девайсов. Ради них мы тут на форуме и собрались :)

alvis
04.10.2013, 14:16
Сообщение от alvis
Ну, ямаха не так нужна

Зачем там ямаха, если уже встроен AY?

Ну, во первых я сказал, что это как вариант и не более. Во вторых:
а) некоторые предпочитают "живой звук", да и здесь не 100%-я эмуляция
б) добавляются два 8-ми битных порта ввода-вывода (типа бонус)
в) можно освободить место в альтере выкинув из нее AY
г) можно еще что то придумать... :)

Mad Killer/PG
04.10.2013, 14:47
Есть не всё,насчёт ZX-BUS однозначно лучше чем просто контроллер,тогда можно не ограничиваться в выборе устройства .

andy150677
04.10.2013, 15:28
Спaсибо 6 eсть,остaлось двa!

+1. Я тоже участвую :)

iceoflame
04.10.2013, 16:22
Ладно, попробуем сделать, пока со смеху не порвало...

Ну че скоро попросят з80 "живой прикрутить", а-ля теплый ламповый звук :-)

Дмитрий
04.10.2013, 16:29
Ну че скоро попросят з80 "живой прикрутить", а-ля теплый ламповый звук :-)
Уже попросили и в Эве это реализовано ;)

alvis
04.10.2013, 18:35
Сообщение от iceoflame
Ну че скоро попросят з80 "живой прикрутить", а-ля теплый ламповый звук :-)

Уже попросили и в Эве это реализовано

Ну, мы Эву повторять не будем. Это все таки девборда в первую очередь. И благодаря тому, что процессорная часть в плисине, довольно гибкая платформа получается (Орион, NES и пр.).

lisica
04.10.2013, 18:49
надо клонироватся,так два человека я и lisica
У меня то и U8 то нету...

---------- Post added at 17:49 ---------- Previous post was at 17:49 ----------

Да и Робик у мну с дискогрызом и карточкой...

vlad
05.10.2013, 17:13
Обновил конфигурацию NES (http://zx.pk.ru/showpost.php?p=630745&postcount=991). Переназначил клавиши и для U8 добавил звук.

Ewgeny7
05.10.2013, 21:44
Влад, а может лучше кнопы назначить на более привычные для кошерного спектрумиста? OPQASpace, к примеру.
А то некоторые, не будем показывать пальцем, считают нелепостью использовать полноразмерную клаву для крошечного компьютера, а на компактных клавах цифрового поля нет вообще :)

shurik-ua
05.10.2013, 21:59
я предлагал ещё и START на ENTER - но Влад хочет чтоб 2 джоя на 1 клаве.

ещё он хотел вторую клаву в разъём для мышки - получатся некислые такие джойстики по 102 кнопки )))

vlad
05.10.2013, 22:02
Joy1:
[Q] = Вверх
[A] = Вниз
[O] = Влево
[P] = Вправо
[Space] = В
[M] = A
[Enter] = Старт
[Shift] = Выбор

Joy2:
[Up] = Вверх
[Down] = Вниз
[Left] = Влево
[Right] = Вправо
[?] = В
[?] = A
[?] = Старт
[?] = Выбор

??? Так?

Ewgeny7
05.10.2013, 23:46
Так?
Шикарно! :)
Серьезно.

---------- Post added at 23:18 ---------- Previous post was at 23:17 ----------


ещё он хотел вторую клаву в разъём для мышки - получатся некислые такие джойстики по 102 кнопки )))
Инопланетный разум оценит такое :)

---------- Post added at 23:46 ---------- Previous post was at 23:18 ----------

Подключил полноразмерную клаву Genius.
Звук есть, такой знакомый, как когда-то тогда... :)
Но управление.... Наверное, часовые пояса все же влияют на интерфейс. Влево/вправо бегает, но с тормозами в управлении. вниз/вверх никак не реагирует. на А и В (1 и 2) никак. Выбор пробелом работает, но в меню иногда работает и без нажатия оного, звездочка сама бежит. Старт S вроде работает... Даже иногда игру приостанавливал... Но не всегда.

dennt
06.10.2013, 00:19
А джойстики прикручивать будем?
У меня есть пара настоящих фамикомовских, вот таких:
http://i1093.photobucket.com/albums/i421/andrewllawrence/IMG_0700.jpg

Никто не знает где раздобыть разъемов для таких коннекторов?
http://www.the-liberator.net/site-files/retro-games/hardware/Nintendo-NES-Controller/NES-Controller/Nintendo-Entertainment-System-Controller-011.JPG

vlad
06.10.2013, 11:46
Обновил конфигурацию NES (http://zx.pk.ru/showpost.php?p=630745&postcount=991). Исправил работу эмулятора джойстиков с клавиатуры.


А джойстики прикручивать будем?
Нужно собирать переходник с двумя разъемами PS/2 и двумя разъемами под джойстики. В конфигурации есть возможность работы с реальными джойстиками.

vlad
06.10.2013, 20:46
Обновил конфигурацию MSX для U8 (http://zx.pk.ru/showpost.php?p=617674&postcount=65). Добавлен звук.

Ewgeny7
06.10.2013, 21:09
Обновил конфигурацию NES. Исправил работу эмулятора джойстиков с клавиатуры.
Сегодня фаза Луны не та, наверное...
Полчаса пытался приконнектить nesdbg, NES FPGA not connected...
Пробовал и кнопочный сброс, и аппаратный (замыканием пинов), в разных вариациях и задержках... Бесполезно, связь не устанавливается.
Порт стыкуется нормально, в противном случае выдается другое сообщение. Это сама конфигурация почему-то не подхватывет обмен.

Ewgeny7
06.10.2013, 21:59
Позавчера и вчера работало.
Ищу косяк у себя. но....
Порт настроен, 38400, СОМ5.

---------- Post added at 21:54 ---------- Previous post was at 21:46 ----------

Ага, один раз подключилось, загрузил РОМ.
Но клавиатура вообще практически не работает, один раз сработал SELECT (пробел), и всё... Больше ни на какую кнопку не реагирует. Клавиатура Genius W2036.

---------- Post added at 21:59 ---------- Previous post was at 21:54 ----------

Нашел закономерность вроде бы.
Включаем питание, жмем ESC. Запускаем nesdbg.
Если соединения не произошло, то дальше сбрасывать бесполезно.
Выключаем питание, и GOTO 1.
Попробовал с другой клавиатурой, ETHERE. Тот же результат, не управляется. Один раз срабатывает Пробел, перемещается выбор в меню на один шаг, и далее не управляется ничего и никак.

---------- Post added at 21:59 ---------- Previous post was at 21:59 ----------

Нашел закономерность вроде бы.
Включаем питание, жмем ESC. Запускаем nesdbg.
Если соединения не произошло, то дальше сбрасывать бесполезно.
Выключаем питание, и GOTO 1.
Попробовал с другой клавиатурой, ETHERE. Тот же результат, не управляется. Один раз срабатывает Пробел, перемещается выбор в меню на один шаг, и далее не управляется ничего и никак.

Ewgeny7
06.10.2013, 23:01
Вот, классический, низкоуровневый.
Конечно, он без таблиц перекодировки.
Для каждой платформы они свои, но этот модуль неизменен, хоть и избыточен. Из плюсов - он работал у всех...

Ewgeny7
06.10.2013, 23:26
Этот модуль, всем модулям - модуль. Ого длиннющий...
Верно, длиннющий. Зато от самого Пророка FPGA - Альтеры :)
И в нем, судя по всему, реализованы все нюансы работы с клавой.
Потому и работает везде... А сильно лишнее - самовыпиливается при синтезе.
Да и не нам плакать о размере, ячеек пока более чем достаточно.
Можно конечно там покоцать много чего, оставляя главную суть, но это занятие на время, когда делать будет совсем нечего...

shurik-ua
07.10.2013, 02:55
Вот запилил таки, как и обещал, mp3 плеер для u8.
Всё довольно сырое, но юзать можно ))

Просьба всем кто скачает потестировать на разных карточках и на разных файлах и написать если где подвисает.

Как юзать:
1. Форматируем сд карту в FAT-32 (only);
2. Записываем на неё несколько файлов мп3 ( не более 1023 файла в один каталог), желательно в формате имени 8.3 ;
3. Юзаем - управление: "8" - вниз, "9" - вверх, "0" - выбор, "Q" - выход.

Кроме мп3 позволяет просматривать спековские скрины (расширение *.SCR), запускать некоторые снапшоты (48к) которые не юзают любые спековые пзу (TR-DOS, BASIC, ..) и крутить видео (без звука), файлы тут - http://yadi.sk/d/aa3Jd-MdAX3qN.

p.s. заюзаны следующие исходники:
1. TS-Fat driver от Budder aka Koshi - http://tslabs.info/forum/viewtopic.php?f=28&t=167
2. NextZ80 cpu by Dumitrache Nicolae - http://opencores.org/project,nextz80, его VHDL версия - converted by vlad
3. Эффект "IRIS" от Ивана Рощина - http://ivr.webzone.ru/articles/iris/index.htm
4. Pletter 0.5 - http://home.wanadoo.nl/smastijn/pletter.html

всё остальное сам написал )

Mad Killer/PG
07.10.2013, 08:57
shurik-ua, ого круто !!!

Ewgeny7
07.10.2013, 10:29
shurik-ua, ты крут, чесслово :)
Молодец!
У меня была мечта сделать такой миниплеер картинок, МП3 и видео.
И в качестве видеовыхлопа сделать ТВ-развертку, чтобы в поездке можно было воткнуть в видеовход телевизора, а в борду - карточку SD... Смеситель делается несложно, несколько резисторов и выход на "колокольчик". А клаву - продаются такие отдельные блоки дополнительного цифрового поля для ноутбуков. Или просто матрицу 4*4 из обычных кнопок, чтобы полноразмерную PS/2 не таскать с собой.

TSL
07.10.2013, 13:29
1. TS-Fat driver от TS-labs

От Budder aka Koshi.
Пофиксь плз )

TSL
07.10.2013, 13:42
Жду флюса для пайки.

TSL
07.10.2013, 14:41
Пгастите, а чем это смотреть? )

Ewgeny7
07.10.2013, 19:42
shurik-ua, вот этот МП3 у тебя воспроизводится? У меня был дикий шум, чуть не обос..... вот. :)
http://yadi.sk/d/siLahksQAYG2K

---------- Post added at 19:42 ---------- Previous post was at 19:41 ----------


парочка видеороликов:
Забавно смотрится!
Спасибо!

Ewgeny7
07.10.2013, 22:43
shurik-ua, Особенная платка у меня, наверное :)
Ничего не изменилось.
Идет симпатичная демка на экране, и шум. Представь "белый шум", но замедленный до проскакивания отдельных потрескиваний, громкость не изменяется.

alvis
07.10.2013, 23:19
А у меня вообще плеер не запускается :( Мерцает красный бордюр и все :(

Ewgeny7
07.10.2013, 23:28
а другие мп3 звучат, или только с этим глюк ?
другие точно так же.

alvis
08.10.2013, 03:14
попробуй другую карточку, и напиши название карточки при которой красный бордер
не заработало с apacer mmc 256mb и Kingston SD 2Gb.
P.S. Нашел сейчас MicroSD HC 4Gb (noname) - заработало. С предыдущими картами другие конфиги работают.

---------- Post added at 02:05 ---------- Previous post was at 02:02 ----------


shurik-ua, вот этот МП3 у тебя воспроизводится? У меня был дикий шум, чуть не обос..... вот.
http://yadi.sk/d/siLahksQAYG2K

У меня воспроизводится нормально.

---------- Post added at 02:14 ---------- Previous post was at 02:05 ----------

Эх, не хватает авто воспроизведения следующего файла и перехода к следующему/предыдущему при проигрывании :(

Ewgeny7
08.10.2013, 21:31
где то в этой теме Влад выкладывал свой драйвер для проигрывания мп3 в конфигурации спекки - если и там будет шум, значит в железе дело
Проверил. Работает, вроде.
Некий звук, нарастающий, затем спадающий по громкости.

alvis
08.10.2013, 22:18
Ну это нужно будет уже писать интерфейс, поддержку длинных имён, сортировку и пр..
Можно и горячими клавишами, без интерфейса.
P.S. C Днем Рождения!

Merlin2010
09.10.2013, 00:57
Ловите багрепорт по конфе u8speccy_v0_8_2_rev20130724.jic
Есть проблемы с проигрыванием AY музыки.
в WildPlayer0.333.scl с рам-диска нормально играются только AY-TS-мелодии, те мелодии которые расчитаны на 1 чип - играют тишину. General Sound работает корректно. В MSX конфе звуковой чип играет без проблем ;)

Merlin2010
09.10.2013, 11:31
Предсказание сбылось только сейчас :) zx.pk.ru/showpost.php?p=624382&postcount=914

Ну как доехал мой usb-blaster, так и проверил. Видимо, больше никто не тестил.
А исходники конфы можно? я бы сам поправил.

---------- Post added at 10:31 ---------- Previous post was at 10:16 ----------

По конфе Ux-MSX v0.1 Rev.20130728: режим 512 x 212 x16 цветов (стартовый в SYMBOS) - практически невозможно использовать, изображение искажено (выглядит как через-строчное со сдвигом, чуть позже могу сделать снимок экрана если нужно).

Ewgeny7
09.10.2013, 12:34
Видимо, больше никто не тестил.
Тестил. Вот только тут такая закавыка, я не люблю звук AY, поэтому не запускал софт с его использованием. Каюсь.
2 Vlad - разобрался с клавиатурным модулем в конфиге Спекки. Причина оказалась до смешного проста, ты назначил Symbol Shift только на правый CTRL, а у меня на мелкой клавиатуре такой кнопки вообще нет :)
Переназначил на левый CTRL и теперь все прекрасно.
Лично мне кажется логичным назначать Caps Shift на оба Шифта, а Symbol Shift - на оба Ctrl.
Но вариаций развелось много, раскладки на Эво и на ZXMC например различаются.

alvis
09.10.2013, 19:11
Цитата:
Сообщение от alvis
не заработало с apacer mmc 256mb и Kingston SD 2Gb.

я поправил немного сообщения об ошибках, попробуй запустить на этих карточках конфигурацию отсюда и напиши какую ошибку выдаёт:
http://yadi.sk/d/aa3Jd-MdAX3qN

И так:
без карточки стал писать: SD card not present (жаль при повторной установке или замене карты приходится заново программатором заливать конфиг);
apacer mmc 256mb - черный бордюр, белый экран (и все);
Kingston SD 2Gb - Error in FAT-code: 10 (но другие конфиги работают с нее);
Вывод (пока не проверенный) - заработала пока только карта типа microSD HC (именно HC). Других карт под рукой пока нет, что бы подтвердить/опровергнуть это наблюдение.

vlad
09.10.2013, 19:39
Ловите багрепорт по конфе u8speccy_v0_8_2_rev20130724.jic
Есть проблемы с проигрыванием AY музыки.
в WildPlayer0.333.scl с рам-диска нормально играются только AY-TS-мелодии, те мелодии которые расчитаны на 1 чип - играют тишину. General Sound работает корректно. В MSX конфе звуковой чип играет без проблем ;)
Вот, еле отыскал в корзине исправленную версию:

alvis
09.10.2013, 19:54
Вот, еле отыскал в корзине исправленную версию:
Вложенияu8speccy_v0_8_5_rev20130907.zip (175.9 Кб, 0 просмотров)

Эту версию тоже нужно хорошенько погонять. На УгольПати у нас были проблемы со звуком (быстро играл). Не писал пока об этом потому, что нужно вспомнить/найти те демки, что не заработали нормально.

alvis
09.10.2013, 20:00
И так:
без карточки стал писать: SD card not present (жаль при повторной установке или замене карты приходится заново программатором заливать конфиг);
apacer mmc 256mb - черный бордюр, белый экран (и все);
Kingston SD 2Gb - Error in FAT-code: 10 (но другие конфиги работают с нее);
Вывод (пока не проверенный) - заработала пока только карта типа microSD HC (именно HC). Других карт под рукой пока нет, что бы подтвердить/опровергнуть это наблюдение.

Проблема с Kingston SD 2Gb решилась переформатированием ее под FAT32. Оказывается плеер не понимает FAT16, а я не досмотрел сразу, что работающая карта была в FAT32. ОДНАКО карте apacer mmc 256mb это не помогло :(

И вопрос. Постоянно переливающийся экран в меню выбора файлов, это так и должно быть?

alvis
09.10.2013, 20:29
а она в FAT-32 нормально отформатировалась ? винда не ругнулась

Нормально. Отформатировалась, записалась.

---------- Post added at 19:29 ---------- Previous post was at 19:25 ----------


Цитата:
Сообщение от alvis
жаль при повторной установке или замене карты приходится заново программатором заливать конфиг

просто сброс на плате разве не работает ?
работает однако :) Спасибо.

Mad Killer/PG
16.10.2013, 16:01
То шо ,и гдe 8ой пропaдaeт зa рeaльныe дисководы!?!
Скоро зимa!!!

Ewgeny7
19.10.2013, 17:57
http://bashkiria-2m.narod.ru/images/bash-2m.jpg

Перенес конфигурацию компьютера "Башкирия-2М" с DE1 на uX-Reverse.
Работает машинка под управлением СР/М, центральный процессор - К580ВМ80А, объем памяти - 128кб, цветное изображение.

1. Форматируем SD-карточку FAT16 (в Windows - просто FAT)
2. Записываем файлы из архива, сначала ROM, затем - один из трех образов диска.

Оригинальный проект написан Дмитрием Целиковым ( b2m ), я лишь перенес его на платы uX-Reverse, с учетом их железа и специфики. Страничка Дмитрия, посвященная этому компьютеру, находится здесь (http://bashkiria-2m.narod.ru/).
Работать в СР/М несложно, диски переключаются командами А: В: С:, смотреть содержимое дисков командой DIR
Для запуска выбранного файла - набираем его название.
Сброс компьютера - кнопка Scroll Lock.
В архиве файлы конфигурации для версий плат u8, u9 и старенькой, снятой с производства u10

alone
19.10.2013, 23:44
Есть предложение, (пока Влад не сделал ВГ93): если, уж 4метра - то и 4 ремдиска зделать. Переключаться как обычно - *"А", *"В", *"С", *"D"
Прошейте Evo Reset Service, там прозрачная работа (чтение/запись) с TRD-образами на SD-карте. Можно одновременно подключить два (а может, и четыре - не пробовал).

b2m
20.10.2013, 00:16
я лишь перенес его на платы uX-Reverse
Не думал я, что малоизвестный комп вызовет такой интерес. У меня на сайте валяется ещё реализация Радио-86РК. Может и её перенесёшь? :)

vlad
20.10.2013, 01:03
Не думал я, что малоизвестный комп вызовет такой интерес. У меня на сайте валяется ещё реализация Радио-86РК. Может и её перенесёшь?
Просто Ewgeny7 перенес тобой созданную конфигурацию "Башкирия-2М" в эту железку, как частичку души, и плата "ожила" в виде этого малоизвестного компа :)

Ewgeny7
20.10.2013, 10:01
У меня на сайте валяется ещё реализация Радио-86РК. Может и её перенесёшь?
Хорошая мысль. Радио-86РК на этих платах еще нету.

Ewgeny7
20.10.2013, 11:52
http://emulation.narod.ru/Pictures/rk86_imp.jpg

Радио-86РК для u8_Reverse.
Процессор - К580ВМ80А, 32кб оперативной памяти, ч/б графика, звук.
В данной версии поддержана работа с SD-картой.
Директива для входа в ДОС - U.
Далее командой DIR можем просмотреть содержимое карты и загрузить выбранный файл в формате .rk
Автором конфигурации является снова b2m, я лишь перенес конфиг на u8 и сделал необходимые изменения.

1. Форматируем SD-карту в FAT16 (FAT).
2. Закидываем на карту файлы программ для Радио-86РК
3. Набираем директиву Монитора U, переходим в ДОС.
4. Командой DIR смотрим каталог карты.
5. Набираем название программы (без расширения), жмем Enter. Полетели!

Сброс компьютера - джампером RST# или кнопкой Scroll Lock.

vlad
20.10.2013, 15:10
Ewgeny7, можно сюда еще добавить цветных клонов: ПК «Микроша» (http://habrahabr.ru/post/165053/), Альфа БК (http://emulate-su.livejournal.com/670126.html), Апогей БК01Ц, Партнер 01.10 с модулем МЦПГ, Юниор ФБ-6506?
Тут можно скачать игры: http://www.emu80.org/dl.html


Благодарю, теперь придется еще десяток платок u8 покупать
Выпуск чистых платок планируется?
Ну разве что прошить платы разными конфигурациями :)
Да, планируется. Еще и плата расширения.

vlad
20.10.2013, 15:38
Прошейте Evo Reset Service, там прозрачная работа (чтение/запись) с TRD-образами на SD-карте. Можно одновременно подключить два (а может, и четыре - не пробовал).
Надеюсь скоро увидим уже в новой конфигурации - ATM-Turbo.

Ewgeny7
20.10.2013, 18:47
А не пора ли комплектовать платки USB-программаторами? На ПИКах программатор простой, как три рубля, полторы детальки...
А то народ действительно начнет покупать по десять плат, поскольку не перешиться :)

---------- Post added at 18:47 ---------- Previous post was at 18:44 ----------

vlad, наверное можно, я еще не смотрел, как цвет организован в этих машинках. Надеюсь, не как в Специалисте, там это сделано весьма оригинально :)

vlad
20.10.2013, 18:58
А не пора ли комплектовать платки USB-программаторами? На ПИКах программатор простой, как три рубля, полторы детальки...
Программаторы больше нужны для разработки конфигураций. Чтобы сменить конфигурацию достаточно просто написать загрузчик с меню.

Ewgeny7
20.10.2013, 20:07
Количество перепрошивок ограничено у использованной элементной базы?
Считай, что без ограничений по перезаписи.


Чтобы сменить конфигурацию достаточно просто написать загрузчик с меню.
Как бы да...............

shurik-ua
21.10.2013, 14:51
А "Океан-240.2" никто не выпустит случаем под u8 ?
про "Океан" давно читал в МПСС, приглянулась мне тогда их видеоподсистема.

Кстати разрешение 512х256 хорошо смотрится на телевизоре, если же делать под VGA развёртку, то 2/3 экрана будут не использованы.

P.S. Влад, с таким количеством конфигураций пора наверное уже сайт свой склепать ))

alone
21.10.2013, 15:56
Сейчас такие VGA экраны, что если использовать весь экран, то придётся вдоль строки ходить, чтобы её прочитать :)

ILoveSpeccy
21.10.2013, 17:48
если же делать под VGA развёртку, то 2/3 экрана будут не использованы.
В моём "корвете" на FPGA я использовал VGA разрешение 1024x768, куда прекрасно "укладывается" 512x256 с пикселями 2x3. Смотрится просто отлично.

shurik-ua
21.10.2013, 17:50
нужно будет попробовать как-нибудь )

Ewgeny7
21.10.2013, 17:58
Как обычно, рисуем в Васике круг и смотрим пропорции. Иначе "отлично" не засчитано :)

ILoveSpeccy
21.10.2013, 23:38
Как обычно, рисуем в Васике круг и смотрим пропорции. Иначе "отлично" не засчитано :)
Ну по-ходу у оригинального корвета с оригинальным ч/б моником геометрия круга никогда не была в порядке. Я помню, в операторе circle приходилось соотношение X/Y подбирать так как по Y сильно растянутое изображение было. Так что я могу с увереностью сказать, что на монике 4:3 при разрешении 1024x768 геометрия приближена к оригинальной. Пусть меня поправят, если я ошибаюсь. Я у корвета я провёл свои школьные годы :cool: и прекрасно помню какое было изображение.

trader2k4
22.10.2013, 09:03
Радио-86РК для u8_Reverse.

А можно попросить собрать вариант и для u9 ?
Хочется взглянуть на классику, а u8 у меня нету...

Ewgeny7
22.10.2013, 09:27
А можно попросить собрать вариант и для u9 ?
Могу попробовать перенести "интуитивно", у меня нет девятки :(
Вечером сделаю, если мне время дадут между рюмками :)

Ewgeny7
22.10.2013, 22:08
Вечером сделаю, если мне время дадут между рюмками
Сделал, раздвинув рюмки по краям стола.
Для "девятки", но пока без звука.
Да звук там, собственно, дело пятнадцатое... Писков чтоли не слышали? :)
Проверить не на чем, поэтому жду результата...

vlad
22.10.2013, 23:53
Могу попробовать перенести "интуитивно", у меня нет девятки
Не вопрос, проверим :)


Для "девятки", но пока без звука.
Да звук там, собственно, дело пятнадцатое... Писков чтоли не слышали?
Звук там действительно оригинально сделан:

Звук: довольно оригинально в качестве источника звука использовался контакт процессора ВМ80 INTE (разрешение прерываний), переключаемый командами EI/DI. Поскольку прерывания в компьютере не использовались, он был свободен. Для точного отсчета интервалов времени (например при выводе на ленту) ПДП и видеоподсистема отключались. В эти моменты регенерацию динамической памяти приходилось осуществлять программно.
Сброс ScrollLock желательно сделать на отжатие и на модуль вывода звука для u8/u9 не заводить (для этого предусмотреть сброс от штырька RST#). Для u9 звук выводится аналогично через модуль I2S.
Если не придираться с тестами, то на u9 все работает, звук не спешно прикрутим :)

Ewgeny7
23.10.2013, 08:33
Звук там действительно оригинально сделан
Да, на "базовом" Орионе так же сделано.


Сброс ScrollLock желательно сделать на отжатие и на модуль вывода звука для u8/u9 не заводить (для этого предусмотреть сброс от штырька RST#).
Спасибо, учту!


Для u9 звук выводится аналогично через модуль I2S
Да это так, можно и "вслепую" прикрутить с того же u9_Ориона, там звуковой модуль работает прекрасно. Просто у меня вчера времени небыло это сделать, коньяк выветривался... :)

Ewgeny7
24.10.2013, 23:02
Дабы добавить еще конфигураций, перенес за часик еще один конфиг, с Altera-DE1, на этот раз компьютер - ПК-01 Львов.
Автор - небезызвестный ILoveSpeccy :)
Разрешения у него я не спрашивал, каюсь, но вроде как сорцы были отданы народу на растерзание.
Проект перенесен "как есть", не вдаваясь в тонкости реализации.
Бейсик работает, цвета - красивые, внешних интерфейсов с миром, кроме клавиатуры - нет, звука - нет.
При наличии времени и желания можно будет продолжить эту разработку.
Конфигурация портирована на u8_Reverse.
В аттаче - .sof и .jic.
Сброс компьютера - пинами RST#. Рекомендую посмотреть, достаточно красивый сброс :)

vlad
25.10.2013, 00:42
Будем надеяться что на lvovpc народ расшевелится... Там вроде как флешку из CP/M уже подключили.

perestoronin
04.11.2013, 00:00
https://github.com/rkrajnc/minimig-de1
Амигу реально портировать на U8 ?

Merlin2010
05.11.2013, 01:49
Амигу нет. Не влезет в 10K LE

Отправлено с моего Nexus 7 при помощи Tapatalk

alexey_kw
10.11.2013, 10:42
Помогите подготовить флешку под конфиг: u9speccy008_20130721
Весь форум просмотрел и не могу найти файл fatall-21.$C, пытался записать fatall.$C, при входе на z вылетает.
Нашел на форуме как подготовить флешку:
--------------------------------------------
1. Для начала прогони тест
2. Отформатируй SD в FAT16 (в винде FAT)
3. Скопируй на SD первый файл zxm_bios_fatall_0_23.rom
4. Скопируй на SD второй файл fatall-21.$C
5. Скопируй образы TRD, SCL...
5. Залей конфигурацию

vlad
10.11.2013, 10:58
Помогите подготовить флешку под конфиг: u9speccy008_20130721
Советую обновить конфигурацию до u9-Speccy v0.8.2 Rev.20130724 (http://zx.pk.ru/attachment.php?attachmentid=42715&d=1376068465)
+ обновлен T80CPU ver. 242
+ добавлена громкость каналов в GS.
! исправления в модуле UART.
! теперь модуль ZC корректно работает при turbo on/off.
! защелки bit7_flag, bit0_flag, int_n приводившие к нестабильной работе GS, заменены на синхронные.
! Устранена ошибка переключения видео страниц в vid_wr.
* Установлена частота ZC @ 28МГц.
* частота CPU GS @ 21МГц.

alexey_kw
10.11.2013, 11:07
А по поводу файлов, которые должны быть на флешке, можно их выложить? Спасибо!

vlad
10.11.2013, 11:19
Ссылка на FATALL v0.25 [1 (http://zx.pk.ru/attachment.php?attachmentid=44083&d=1384067706)]

alvis
10.11.2013, 15:22
Вопрос немного не потеме, но может кто в курсе. Есть ли версии Fatall, которые пзволяют разворачивать ЛЮБЫЕ образы *.fdi ??? Интересуют образы размером более 640Кб.

alvis
10.11.2013, 17:31
Сообщение от alvis
Вопрос немного не потеме, но может кто в курсе. Есть ли версии Fatall, которые пзволяют разворачивать ЛЮБЫЕ образы *.fdi ??? Интересуют образы размером более 640Кб.

http://zx.pk.ru/showthread.php?t=723...fatall&page=45

WDC не решает проблеммы :( т.к. не поддерживает контроллер Профи IDE

Merlin2010
17.11.2013, 01:14
Помогите подготовить флешку под конфиг: u9speccy008_20130721
Весь форум просмотрел и не могу найти файл fatall-21.$C, пытался записать fatall.$C, при входе на z вылетает.
Нашел на форуме как подготовить флешку:
--------------------------------------------
1. Для начала прогони тест
2. Отформатируй SD в FAT16 (в винде FAT)
3. Скопируй на SD первый файл zxm_bios_fatall_0_23.rom
4. Скопируй на SD второй файл fatall-21.$C
5. Скопируй образы TRD, SCL...
5. Залей конфигурацию

Недавно тоже продолбался с этим полчаса. Пока не отформатил флешку в FAT32 - FATALL 0.25 упорно вис на старте.

Merlin2010
18.11.2013, 16:59
Есть 2 feature requests для конфигурации Ux NES:
1) поменять "клавиатурные" геймпады местами, т.е. чтобы 1й игрок управлялся от курсора, QAOP при отсутствии аппаратных геймпадов жутко неудобны.
2) реализовать дополнительно mapper, отличный от mapper 0. Например MMC1, или MMC3 (а лучше оба).
Описание: http://wiki.nesdev.com/w/index.php/MMC1, http://wiki.nesdev.com/w/index.php/MMC3, http://nesdev.com/mmc1.txt

vlad
19.11.2013, 13:06
Есть 2 feature requests для конфигурации Ux NES:
3) добавить конфигурационный контроллер для возможности загрузки образов сразу с SD.
4) добавить OSD, для вывода окна меню - загрузки, настроек...

Вот к примеру как сделано здесь [1 (http://habrahabr.ru/post/185872/)][2 (http://www.youtube.com/watch?v=HTMV8tA2qwQ)][3 (http://www.youtube.com/watch?v=DF0XlG4r_B0)].

ILoveSpeccy
27.11.2013, 23:21
Now is best way support DIVMMC = new device with DIVIDE memory + MMC ports (but use different ports than ZXMMC interface).
Влад, есть успехи? Получилось портировать? Я решил немного отдохнуть от железа и написать простенькую конфигурацию спека для Aeon'а. Для загрузки софта приглянулся DIVMMC. Только пока не всё понятно с ним.

alone
28.11.2013, 18:57
Порты #B3 (cylinder low) и #BB (drive/head) DivIDE пересекаются с General Sound.

ILoveSpeccy
28.11.2013, 21:17
Порты #B3 (cylinder low) и #BB (drive/head) DivIDE пересекаются с General Sound.
Velesoft писал где-то, что порты у DIVMMC другие и с GS не пересекаются. На днях попробую прикрутить.

lisica
17.02.2014, 10:14
После замены ядра вот что получилось:
Молодец, даже смещение борда сделал.

Думаю как устранить возможные смещения при выходе из Turbo.
А зачем? Запускать не в турбо. А мультиколоры сами по себе синхронизируются.

vlad
17.02.2014, 11:15
А зачем? Запускать не в турбо. А мультиколоры сами по себе синхронизируются.
Осталось прикрутить OSD меню для настройки всего, как к примеру в unreal. Эти и не только функции (ВГ93, RTC, отладчик, leds, 128KB - 16MB, работа с образами на SD с их привязкой к дикам A..D и Tape...) ляжут на плечи второго проца NZ80@50MHz. Или все это привязать к T80, тогда с TurboSound, GeneralSound, Soundrive, MP3, OGG, AAC, WMA, FLAC, MIDI, заиграет и SID Blaster :) Только смотрю плеер придется писать самому... Базовые наработки (http://zx.pk.ru/showpost.php?p=632846&postcount=1054) от shurik-ua уже есть.

vlad
05.03.2014, 15:42
Обновил конфигурацию Speccy http://zx.pk.ru/showpost.php?p=619825&postcount=894

balu_dark
07.03.2014, 23:57
vlad - а чего там новенького ?

vlad
09.03.2014, 13:09
а чего там новенького ?
В версии 0.8.6 стараюсь настроить видео режим под Pentagon и ZX-Spectrum 48K/128K. По Pentagon все попытки в теме: Пентагоновский режим экрана на Робике (http://zx.pk.ru/showthread.php?p=685996#post685996).

Также из нового:
Добавил рамку (вкл. кнопкой 'F7') для удобства настройки позиции кадра. Сейчас мне просто необходима.
http://zx-pk.ru/attachment.php?attachmentid=46364&thumb=1&d=1394351663 (http://zx.pk.ru/attachment.php?attachmentid=46364&d=1394351663)
Начал разработку периферийного контроллера на базе N80CPU, для эмуляции периферии - FDC (работа с образами TRD, SCL, UDI, FDI... на SD карте), RTC, TAPE... Возможно будет встроенный отладчик (вкл. кнопкой 'F8'), для этого добавил цветной текстовый режим 80х30. При включении платы пока выводит информацию о версии и сканит в/в. Дальше добавлю настройку всей системы через меню и возможно файловик типа FAR.
46366

В общем будет видно. Возможности платы пока для этого есть.

vlad
11.03.2014, 22:37
Влад, есть успехи? Получилось портировать? Я решил немного отдохнуть от железа и написать простенькую конфигурацию спека для Aeon'а. Для загрузки софта приглянулся DIVMMC. Только пока не всё понятно с ним.
Пытаюсь запустить DivMMC (http://aticatac.altervista.org/portale/?q=node/15). При включении намертво вешает спек. Такое впечатление что проц заваливается в цикл. Просмотрев rom наткнулся на порт #1FFD с установкой 3-го бита, и #FFFD ?
Написано, что переключение страницы должно сработать в начале цикла REFRESH после загрузки кода операции (после цикла М1) от 0000h, 0008h, 0038h, 0066h, 04C6h и 0562h. И также происходит мгновенно при исполнении кода операции из 3D00h-3DFFh по заднему фронту /MREQ. Отключение страницы в цикле /REFRESH при чтении команды из 1FF8h-1FFFh «офф-область».

Т.е. я правильно понял, что переключение происходит после цикла M1, когда код команды был считан? И после переключения порты divmmc стают доступны?

ILoveSpeccy
12.03.2014, 01:21
Привет Влад,

я некоторое время назад наконец-то победил DivMMC!

Т.е. я правильно понял, что переключение происходит после цикла M1, когда код команды был считан? И после переключения порты divmmc стают доступны?
Да, именно так. Переключение происходит сразу ПОСЛЕ чтения опкода из указанной области, и, например, если за опкодом следуют какие либо операнды, то они считаются уже из ROM/RAM DivMMC.

Вот куски из моего кода, надеюсь поможет...



process(CLK)
begin
if rising_edge(CLK) and TICK = "010" and CPU_MREQ = '0' then
if CPU_M1 = '0' and CPU_A(15 downto 3) = "0001111111111" then
MAPCOND <= '0';
elsif (CPU_M1 = '0' and (CPU_A = X"0000" or CPU_A = X"0008" or CPU_A = X"0038" or CPU_A = X"0066" or CPU_A = X"04C6" or CPU_A = X"0562")) or (CPU_M1 = '0' and CPU_A(15 downto 8) = X"3D") then
MAPCOND <= '1';
end if;
if MAPCOND = '1' or (CPU_M1 = '0' and CPU_A(15 downto 8) = X"3D") then
AUTOMAP <= '1';
else
AUTOMAP <= '0';
end if;
end if;
end process;

process(CLK)
begin
if rising_edge(CLK) and TICK = "010" then
if CPU_IORQ = '0' and CPU_WR = '0' then
if CPU_A(0) = '0' then
BORDER <= CPU_DO(2 downto 0);
elsif CPU_A(7 downto 0) = X"E3" then
BANK <= CPU_DO(5 downto 0);
CONMEM <= CPU_DO(7);
MAPRAM <= CPU_DO(6) or MAPRAM;
LEDG(6) <= CPU_DO(7);
end if;
end if;
end if;
end process;


CPU_NMI <= '0' when KEY(3) = '0' and MAPCOND = '0' else '1';

RAM_WR <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 14) /= "00" else '0';
ESXRAM1_WR <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 13) = "001" and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '0' else '0';
ESXRAM2_WR <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 13) = "001" and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '1' else '0';
VRAM_WR <= '1' when CPU_MREQ = '0' and CPU_WR = '0' and CPU_A(15 downto 13) = "010" else '0';

CPU_DI <= ROM_DO when CPU_A(15 downto 14) = "00" and CPU_MREQ = '0' and AUTOMAP = '0' and CONMEM = '0'
else ESXDOS_DO when CPU_A(15 downto 13) = "000" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1') -- ESXDOS ROM
else ESXRAM1_DO when CPU_A(15 downto 13) = "001" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '0' -- ESXDOS RAM 1
else ESXRAM2_DO when CPU_A(15 downto 13) = "001" and CPU_MREQ = '0' and (AUTOMAP = '1' or CONMEM = '1') and BANK(2) = '1' -- ESXDOS RAM 2
else RAM_DO when CPU_A(15 downto 14) /= "00" and CPU_MREQ = '0'
else "111" & KEYB_DO when CPU_A(0) = '0' and CPU_IORQ = '0'
else in_reg when CPU_A(7 downto 0) = X"EB" and CPU_IORQ = '0'
else "11111111";


Если нужно, могу выложить рабочий проект для DE2-115
Спек очень кастрированный, но DivMMC в связке с EsxDOS работает.
Мне в принципе только это и надо было, так как полноценный спек с обкатанными модулями будет сразу писаться под Aeon Lite.

point777
17.03.2014, 22:08
ILoveSpeccy,
Выложи пожалуйста для de2-115.
Спасибо.

Sent from my VS980 4G using Tapatalk

ILoveSpeccy
21.03.2014, 12:18
ILoveSpeccy,
Выложи пожалуйста для de2-115.
Спасибо.
Вечерком закину. Только предупреждаю, там спека 2%. Это черновик, целью которого было запустить DivMMC. Нет ни звука, ни бордюра, ни градаций цвета да и вообще ничего. Только 48 ПЗУ, ОЗУ, клава, проц, кастрированный видеоконтроллер и недоделанный, но рабочий, DivMMC.

dennt
21.03.2014, 14:33
Что-то я потерял пост в котором был BOM с герберами.
Нельзя ли повторить? Нужно же детальки покупать :)

ILoveSpeccy
21.03.2014, 17:11
Чистые платки u16 прибыли из производства.
Собранный прототип уже есть?

kasper
21.03.2014, 18:09
Всем привет! Поделитесь пожалуйста кто может сырочками для U8 (собрал на I7N и все глючит). Заранее благодарен!

ILoveSpeccy
22.03.2014, 09:33
Я не автор, чистые платки ещё даже в руки не получил.
Ну я подумал что может Влад уже собрал для тестов платку? Меня интересует HDMI, а конкретнее вывод звука через HDMI. Буду с интересом следить за проектом! Может и себе платку возьму для тестов, когда следующий раз в москве буду...

ILoveSpeccy
22.03.2014, 09:54
Хорошо, Вам в подарок в резерв поставлю чистую платку. Надеюсь у Вас с детальками проблем нет.
Детали сам закажу, проблем нет. Спасибо!

---------- Post added at 06:54 ---------- Previous post was at 06:53 ----------


ILoveSpeccy,
Выложи пожалуйста для de2-115.
Спасибо.
Чтобы не засорять тему Влада, выложил исходники в соответствующей теме
http://zx.pk.ru/showthread.php?t=22283

perestoronin
24.03.2014, 08:44
С ПЛИС для u16 первый заказ неудачный, китаец не нашёл их у себя и отменил мой заказ. Как вернут деньги буду делать новый заказ, но ПЛИС будут уже в 2 раза дороже и на один пакет их по сумме вмещается 5шт.
2шт себе, 2 автору (в подарок) и 1 шт решим кому подарить.

Поэтому ПЛИС каждый ищет сам, какие можно поставить - указано у автора в спецификации.

ILoveSpeccy
24.03.2014, 12:16
Я комплектующие заказываю на www.digikey.com (http://www.digikey.ru/)
От 65 евро бесплатная доставка. Посылка из америки идёт всего 2-3 дня. И не думаю что у китаецев намного дешевле. Но как у них с россией я не в курсе...

vlad
25.03.2014, 01:51
Не получается побороть divMMC, прям мистика... :) Исходники выложил (http://zx.pk.ru/showpost.php?p=619825&postcount=896). Может у кого-то есть мысли как побороть divMMC на u8/u9?

ILoveSpeccy
25.03.2014, 13:34
Не получается побороть divMMC, прям мистика... :) Исходники выложил (http://zx.pk.ru/showpost.php?p=619825&postcount=896). Может у кого-то есть мысли как побороть divMMC на u8/u9?
:D Я на DivMMC потратил часов 20 точно....
Вчера завёл на AeonLite, но надо немного доработать!
Если вечером до платы доберусь и кой чего проверю, то могу выложить "красивые" исходники. Я за последние несколько дней полностью "перелопатил" DivMMC и теперь код выглядит приятнее.

vlad
27.03.2014, 19:58
Прикрутил DivMMC. Осталось разобраться с BETADISK.SYS, выдает ошибку. Возможно нужны еще какие-то доработки в DivMMC?

ILoveSpeccy
27.03.2014, 20:10
Влад, у тебя в исходниках для DivMMC используется кажется 32КБайт ОЗУ, а Velesoft мне говорил что нужно минимум 128КБайт. Скорее всего из-за этого. У меня TRDOS работает.

vlad
27.03.2014, 22:25
Спасибо всем за помощь, divMMC заработал! Эмуляция TR-DOS теперь тоже работает. Сделал 512КВ RAM для divMMC :) Устройство заняло около 60LE :)
Осталось собрать jic для конфигурирования плат U8 и U9 и выложить.

kasper
27.03.2014, 23:21
Троекратное ура!!!! Ура ура ура!!! Теперь осталось прикрепить реальную ВГ и счастью не будет предела!

vlad
27.03.2014, 23:34
Теперь осталось прикрепить реальную ВГ
DivMMC уже её (частично) программно эмулирует. Это 'частично' можно исключить, если доработать в DivMMC автомапер I/O и нескольких "сквозных" файловых регистров 1F, 3F, 5F, 7F и FF.

kasper
28.03.2014, 00:04
Спек без дисковода с дискетами никогда не будет расово чистым и кошерным).
Шучу. Смиренно жду прошивочку.

vlad
28.03.2014, 01:37
Выложил новую конфигурацию Speccy (http://zx.pk.ru/showpost.php?p=619825&postcount=896) с DivMMC. Что такое DivMMC можно глянуть по этой ссылке (http://aticatac.altervista.org/portale/?q=node/15).
Посмотреть функциональные кнопки можно нажав на F8 = Вкл./Откл.

Теперь как сделать инициализацию DivMMC:
- распаковываем архив с ESXDOS (http://www.esxdos.org/) на SD
- клавишей F9 отключаем 'Turbo' (гаснит светодиод)
- переходим в 48К BASIC
- клавишей F6 включаем 'DivMMC' (загорается крайний слева светодиод)
- нажимаем и удерживая клавишу SPACE жмем на F5 (NMI), потом на F4 (CPU Reset)
должна появиться заставка и подгрузиться нужные файлы SYS.
Дальше по мануалу (http://www.benophetinternet.nl/hobby/hobby/index.php?m=11&y=13&entry=entry131105-091909).

dennt
28.03.2014, 12:28
vlad, я правильно понимаю, что DivMMC никак не заставить грузить TAP'ы на нормальной скорости, чтобы насладиться старыми добрыми ощущениями от загрузки с ленты? :(
Ну, типа http://www.youtube.com/watch?v=q6G-YGNrWm8

vlad
28.03.2014, 13:21
DivMMC никак не заставить грузить TAP'ы на нормальной скорости
Ну, это самая малость для программера, если в конфиге к esxDOS нет такой настройки, то исходники esxDOS доступны уже давно.

dennt
28.03.2014, 13:34
Ну судя по тому, что хитрые TAP (http://zx.pk.ru/showpost.php?p=193889&postcount=20) оно не загружает, значит он просто копирует блоки данных в память, а не пытается прикидываться магнитофоном :)
Да и пёс с ним, хитрых тапов не так уж и много.


Даже если и не получится, есть же ZX-магнитофон :)
Вот бы еще и магнитофон сэмулировать на ReVerSE :v2_dizzy_tired2:

Ewgeny7
28.03.2014, 14:38
Вот бы еще и магнитофон сэмулировать на ReVerSE
Кассету куда вставлять будешь? :)

dennt
28.03.2014, 15:08
Я надеялся, что вставлять будем TAP/TZX :v2_laugh:

kasper
28.03.2014, 18:38
Периферийный контроллер я уже прикрутил, остается его только наворачивать... А наворачивателей то нет. Нет разработчиков, нет и халявных плат U16 для них

Влад, a схема контроллера не изменилась? А то уже деталями затарился в космодроме.

kasper
28.03.2014, 19:52
Не совсем понимаю (. Это часть Reverse Ux? Стандартизированный набор сигналов для коммуникации устройств внутри плис? Это дополнение к Reverse для организации zxbus?

Отправлено с моего SK17i через Tapatalk

kasper
28.03.2014, 21:20
К стати сейчас думаю над Setup (клавиша F8):

System Time:[17:44:00]
System Data:[28.03.2014]
CPU Speed:[14.0MHz]
Extended Memory Port:[DFFD]
Memory Size:[4096K]
Model:[Proffi]
Mouse:[Kempston]
Joystick:[Kempston]
DivMMC:[Disabled]
Контроллер на базе NextZ80@50MHz + VGA TXT Mode 80x30@60Hz


А можно кстати не 14 а 7 сделать в турбо режиме?

Отправлено с моего SK17i через Tapatalk

---------- Post added at 19:20 ---------- Previous post was at 19:10 ----------

И чтобы в DIVMMC одной кнопкой попадать, и чтобы турборежим сам выключался.
Удобно будет.

Отправлено с моего SK17i через Tapatalk

vlad
29.03.2014, 13:13
И чтобы в DIVMMC одной кнопкой попадать, и чтобы турборежим сам выключался.
В DivMMC после инициализации попадаем по F5 (NMI):
NMI menu
Pressing the NMI button will bring up the NMI file selector menu.
From that menu the following keyboard shortcuts can be used.

Up, Down
Move cursor on page

Left, Right
Change page

Enter
RUN .sna, .z80, files with ZX header of type 'basic', view screen (same as 'v' except for .sna files), attach .tap file to input slot and soft reset with autoload

r
Reset(Warm)

s
Create snapshot (auto incrementing name starting with snap0000.sna on initialisation of ESXDOS).
If you get ERROR 18 it’s because file already exists, try again.

1
Go to parent directory (chdir to ‘..’)

v
Show screen from .scr and .sna files (or files with ZX header of type ‘CODE’)

i
Attach tape file to input slot

Space
Exit from NMI mode

H
Onscreen help
или с помощью команд:
BASIC commands
On all BASIC commands that use a drive parameter, you can use * for the current drive.
[…] means its an optional parameters.

GOTO [drive] ["path"]
Example:
GOTO hd0"demos"
This will change the current drive and directory to hd0:/demos.
You can use it to change the current drive, dir, or both.

CAT [drive]
Example:
CAT, CAT *, CAT hd1
Without specifying a drive a fast CAT is done (no speccy header info is displayed).

LOAD drive "path/filename" [CODE]|[SCREEN$]
MERGE drive "path/filename"
Example:
LOAD *"/test/blabla", etc

SAVE drive "path/filename" [LINE]|[CODE]|[SCREEN$]
Example:
SAVE *"filename" SCREEN$, etc

ERASE [drive] "path/filename"
Example:
ERASE "filename", ERASE *"filename", etc

System commands
Files located in the /BIN directory are system commands. These system commands can be executed from BASIC by typing: ".command <args>".

Each .command has 7.5K of RAM available and may call any ESXDOS syscall.
Commands can also exit to BASIC with a custom error message.

Most commands are self-explanatory or show onscreen help when run without arguments (or with the -h parameter).
An example of the onscreen help:
.tapeout
tapeOUT v0.1 (c) phoenix

Usage: tapeout [args] [tapfile]

-c: Close file
-o: Overwrite file

.ls
Show directory listing

.cd somedir
Change directory

.tapein somefile.tap
Attach .tap file for reading

.tapeout somefile.tap
Attach .tap file for writing

.chmod +h somefile.sys
Set/unset attributes on file/dir

.mkdir newdir
Create a new directory

.mv oldname newname
Rename/move file/dir

.cp source target
Copy file

.more textfile
Display the contents of a textfile

New commands in v0.8.5:
.divideo
.vdisk
.playwav
.dskprobe
.snapload
.gramon
.speakcz

TR-DOS emulator
Either use the NMI browser to attach/auto-LOAD .TRDs, or use the .vdisk command:
.vdisk unit <filename>

Unit 0 = Drive A, Unit 1 = Drive B, etc.
If you specify just the unit, it will eject the virtual drive.
You can use .dskprobe to check the contents of the vdisk.
Instructions on how to use TR-DOS are out of the scope of this document.

Note: TR-DOS emulation is not available in MapRAM mode (BETADISK.SYS will not be loaded)

Из этого следует, что DivMMC в разы превосходит уже не нужные и только занимающие место HE Gluk и Fatall разом.

kasper
29.03.2014, 13:20
Да, я это все знаю. Имелось ввиду при включении попадал сразу в divmmc готовый к работе. Fatal пока лучше не трогать, т.к. Он работает с scl поддержки которого в divmmc нет. Я думаю над этим, но пусть меня лучше кто то опередит )))

Отправлено с моего SK17i через Tapatalk

kasper
29.03.2014, 13:59
Еще нужно переключатель турбо 3.5 7 14 МГц
Сейчас 14 это слишком много (если в черный ворн играть например ) а 3.5 как то некомфортно.

Сейчас активно эксперементирую с VHDL и слетают часы ((( а через аласм оч. долго устанавливать. Меню настройки нужно.
И еще напишите о чем вы НЕ думаете))) Дайте что нибуть реализовать полезное, а то у вас скорость реализации почти мгновенная.)))

Отправлено с моего SK17i через Tapatalk

VELESOFT
30.03.2014, 12:51
ESXDOS use software detection of current 128kB ram page after press NMI. But detection routine not support more than 128kB ram. Then if any software set extended memory pages (higher ram than 128kB) from 49152 and you press NMI, then routine in esxdos detect incorrect ram page + set incorrect ram page and after return from NMI menu can software crash.... Result: for ESXDOS is better use only 128kB ZX ram as maximum. After rewrite detection routine can support more than 128kB. If you will not use NMI button (menu), then extended zx ram can be used without problems, because system not access to port 7FFD.

And here is modified +3e rom with SD card support using DIVMMC morts (only SD ports without DIVMMC memory)
http://www.zonadepruebas.com/viewtopic.php?f=26&t=4927&start=140#p40580

Source code also here:
http://sourceforge.net/p/emuscriptoria/code/HEAD/tree/plusROMs/


ESXDOS 0.8.6_beta4 now support also RTC drivers. (you must write own RTC.SYS file with small routine for read time from rtc chip)
http://board.esxdos.org/viewtopic.php?pid=89#p89

vlad
30.03.2014, 14:21
Проблема решается добавлением "зеркал" для конкретной модели на чтение портов расширения памяти: 7FFD, FDFD, DFFD...
Интересует механизм входа/выхода из/в эмулятор TR-DOS. Т.к. при TURBO 14MHz не корректно все начинает работать, т.е. не происходит правильный вход в TR-DOS. Хотя без DivMMC все работает в TURBO правильно.
И еще, нужно добавить порт #E7 на чтение, в котором bit 7 к примеру будет отвечать за готов/занят SPI Interface при записи или чтении байта. Как это сделано к примеру в Z-Controller (bit 7 = если 1 - буферный регистр содержит новые данные, если 0 - идет загрузка).

Blade
30.03.2014, 14:27
Как это сделано к примеру в Z-Controller (bit 7 = если 1 - буферный регистр содержит новые данные, если 0 - идет загрузка).
Нет такого в Z-Controllere.

vlad
30.03.2014, 14:44
Нет такого в Z-Controllere.
В Turbo процессор успевает считать данные из порта быстрее чем их разместит там контроллер, то как проверить достоверность без бита готовности контроллера принять/отправить новый байт?

VELESOFT
30.03.2014, 15:11
В Turbo процессор успевает считать данные из порта быстрее чем их разместит там контроллер, то как проверить достоверность без бита готовности контроллера принять/отправить новый байт?

Automatically WAIT CPU if data transfer is not done.

Blade
30.03.2014, 15:21
В Turbo процессор успевает считать данные из порта быстрее чем их разместит там контроллер
Не успевает. У Z-контроллера SPI работает на 8МГц, байт по SPI читается/пишется за 7 тактов безвайтового Z80 7МГц. Читают обычно INIRом или развернутыми INI - 21/16 тактов на байт.

vlad
01.04.2014, 19:17
Еще нужно переключатель турбо 3.5 7 14 МГц
Сделано, кнопки: 'F3'=3.5MHz/7.0MHz и 'F9'=7.0MHz/14.0MHz.
u8-Speccy v0.8.8 Rev.20140401 (http://zx.pk.ru/showpost.php?p=619825&postcount=894)

alone
01.04.2014, 20:19
В демах нужно программное переключение с максимальной частоты на минимальную (мультиколоры) и обратно.

На ZX Evo и Pentagon 2.666 сделано так:

если xx77 D3=1 "турбо", то максимальная скорость
если xx77 D3=0 "нетурбо" и eff7 D4=0 "турбо", то 7 МГц (на Pentagon 2.666 14 MHz)
если xx77 D3=0 "нетурбо" и eff7 D4=1 "нетурбо", то 3.5 МГц

perestoronin
01.04.2014, 22:39
Спецы (авторы конфигураций на hdl), желающие собрать себе u16 спрашивают чистые платки (бесплатные для разработчиков) по России у меня и у Романа (просите Романа чтобы взял их с собой на день космонавтики).
По Украине завтра отправятся чистые платки к zorel. Спрашивать спецам по hdl с Украины чистые платки у zorel и у vlad, по приобытию платок к ним. Отправлю на Украину пока лишь 15 чистых платок, чтобы не сильно обидно было если затеряются на почте.
Если платки благополучно доберутся и будут востребованы, отправлю ещё попозже.
Остальное читать в барахолке (http://zx-pk.ru/market/viewtopic.php?f=7&t=1904).
Простым пользователям и коллекционерам рекомендую подождать, пока будет завершено портирование энтузиастами конфигураций с u8 на u16, хотя чистых платок думаю на всех хватит.
От меня тоже когда-нибудь появится конфигурация под u16 - к примеру Океана-240.2 (http://zx.pk.ru/showthread.php?t=14176&page=22).

Ewgeny7
02.04.2014, 12:52
Вот платка побрутальнее, служит мне уже несколько лет :)
Чего на ней только не делалось...

http://img-fotki.yandex.ru/get/9802/79278654.0/0_102843_576fc73e_XL.jpg

vlad
03.04.2014, 21:24
Был у меня лет 5-ть назад похожий прототип :). Были и собранные ЛУТ платы. Остался только модуль к ней на ACEX EP1K30TC144-2N. Теперь вспоминаю МГТФ как страшный сон. Именно трудность сборки и наладки, порой по несколько десятков вариантов плат с исправлениями прототипа, послужило переходом на FPGA. Сейчас все намного проще, как в сборке, так и в наладке - надежных, быстрых и мало потребляющих систем, на одной только плате :)
http://zx.pk.ru/attachment.php?attachmentid=36878&d=1344855364http://zx.pk.ru/attachment.php?attachmentid=36880&d=1344855402

Ewgeny7
03.04.2014, 22:21
Эх.... прототип u10, красавец! :)

Ewgeny7
04.04.2014, 10:16
Влад, не поделишься свежими сырцами u8 speccy 0888?
Просто для собственного употребления мне удобней ТВ-развертка, на ПЦ-тюнер.

vlad
04.04.2014, 11:50
Влад, не поделишься свежими сырцами u8 speccy 0888?
Отправил на почту.

Ewgeny7
04.04.2014, 12:08
vlad, спасибо!

vlad
04.04.2014, 17:42
Пока у TSL появится (время) на перенос ts-conf, в чем сомневаюсь, решил продолжить перенос ATM-Turbo 7.10 на U8/U9.
Т.к. на этой машинке, мне к сожалению не довелось поработать, возник вопрос, по поводу видео-режимов:
1) Текстовая консоль 80х25 (RG0=0, RG1=1, RG2=1)
2) Режим аппаратного мультиколора 640х200 (RG0=0, RG1=1, RG2=0)
3) EGA-режим 320х200(x16) (RG0=0, RG1=0, RG2=0)
т.е. интересуют времянки работы видео, частоты, пиксел клок...

alone
04.04.2014, 18:25
Пиксел-клок в EGA-режиме такой же, как в 6912, в остальных двух режимах в два раза выше.
Вот отдельные тесты от DDp для всех режимов со скриншотами картинок, которые должны быть на экране.

alone
04.04.2014, 18:26
Вот шрифт для текстового режима в АТМовской кодировке: https://pentevo.googlecode.com/svn/fpga/current/video/atm.fnt

Ewgeny7
04.04.2014, 22:01
Отключил скандаблер, гоняю DivMMC.
Замечательно сделана реализация!
Из личных хотелок - ИМХО не нужно 14Мгц... Хватит 3.5/7 на все нужды.
А с тремя скоростями - в кнопках запутываешься.
Насчет сброса пока тоже не совсем понял... Каким сбросом сделать полный рестарт с возвратом в меню Глюка?
Разумеется, нет проблем "заточить" машинку "под себя", но я просто делюсь впечатлениями :)

---------- Post added at 22:01 ---------- Previous post was at 21:21 ----------

Ага, разобрался со сбросами и режимом DivMMC :)

vlad
04.04.2014, 22:03
Из личных хотелок - ИМХО не нужно 14Мгц... Хватит 3.5/7 на все нужды.
14МГц отключается кнопкой 'F9', имеем 3.5/7МГц переключаемые кнопкой 'F3'. Частота не лишняя, т.к. удобна тем, кто работает с архивами и другими программами использующие (большие) массивы данных. Большое спасибо shurik-ua, за тест и исправление детектора в DivMMC, что дало возможность работать DivMMC в 14МГц+, иначе пришлось бы оставить только 3.5/7МГц.

Насчет сброса пока тоже не совсем понял
"Hard сброс" - кнопка 'Scroll Lock'. В HE GLUK с включенным DivMMC по 'F6' не попадем, нужно отключать, да и смысл HE GLUK при наличии DivMMC какой? Сделано в виде разделения.

Разумеется, нет проблем "заточить" машинку "под себя", но я просто делюсь впечатлениями
Надеюсь что время тратится на эту конфигурацию не впустую и еще speccy кому-то интересна. По 'F8' еще пока чистый лист...
Для удобства управления, все-же думаю сделать OSD меню.

Что касается Turbo2+, т.к. пиксел клок 14МГц, и нужно делить память между CPU и видео, придется доработать контроллер SDRAM для блочного чтения.

alone
04.04.2014, 22:03
Согласен, что 14 МГц не очень нужно - вместо неё нужна максимальная скорость (28 или 56 МГц - или сколько получится, можно с вайтами). Идеально для всяких архиваторов, JPEG вьюверов и т.п.

vlad
04.04.2014, 22:30
14 МГц не очень нужно - вместо неё нужна максимальная скорость 28 или 56 МГц
Для этого нужно переходить на новую архитектуру, старый фундамент не рассчитан на это. Я, про совершенно новую архитектуру. Боятся перехода не нужно, т.к. система реконфигурируемая, и всегда можно вернуться назад. Вот и думаю, делать новую конфигурацию с поддержкой всех возможностей платы, или допиливать в одиночку скучную Turbo2...

alone
04.04.2014, 22:40
Почему же в одиночку, я помогу чем смогу. По опыту KOE, там на два дня работы плюс день на текстмод :) А на этой базе уже можно привешивать другие видеорежимы, новые сигналы, новые порты, новые устройства и т.д.

Ewgeny7
04.04.2014, 22:55
Частота не лишняя, т.к. удобна тем, кто работает с архивами и другими программами использующие (большие) массивы данных.
Влад, примеры? Размеры файлов на Спекки не требуют такой скорости процессора.
Самый долгий из процессов для юзера - заливание ТРД образа на виртуальный диск, но и это не проблема. А вот лишние телодвижения с отключением ненужной скорости ИМХО несколько неудобно. На ПентЭве есть режим 14Мгц, да только он не используется в жизни.


"Hard сброс" - кнопка 'Scroll Lock'. В HE GLUK с включенным DivMMC по 'F6' не попадем, нужно отключать, да и смысл HE GLUK при наличии DivMMC какой? Сделано в виде разделения.
Да, спасибо, я уже разобрался с режимами.
Насчет смысла - ничего не скажу... Тут дело привычки, большей частью. Да и образы SCL никто не отменял...


Надеюсь что время тратится на эту конфигурацию не впустую и еще speccy кому-то интересна. По 'F8' еще пока чистый лист...
Для удобства управления, все-же думаю сделать OSD меню.
Влад, а ты точно уверен, что для ОСД нужно такое высокое разрешение?
Просто, беря машинку в поездку или в гости на бочку пива, проще найти телевизор, чем свободный монитор ВГА... А переключалку режимов сделать не проблема, но вот контролпанель...

alone
04.04.2014, 22:57
По своему опыту скажу, что режим 14 МГц на ZX Evo используется чаще, чем все остальные.

Ewgeny7
04.04.2014, 23:05
Надеюсь что время тратится на эту конфигурацию не впустую и еще speccy кому-то интересна.
Мне частенько казалось. что платкам не хватает одной важной вещи... Программатора, usb. Чтобы юзеры знали, что обновить игрушку - не проблема.
А такой программатор требует всего трех деталек, одна из которых - просто мелкий МК... Вместо малонужного USART.

---------- Post added at 23:03 ---------- Previous post was at 23:00 ----------


По своему опыту скажу, что режим 14 МГц на ZX Evo используется чаще, чем все остальные.
alone, у тебя использование Эвы, предположу, довольно специфическое для "среднего спектрумиста" :)
Ты не играешь и не смотришь демки, иначе бы не упоминал про 14МГц :)
Ты же вс же разработчик, коих единицы...

---------- Post added at 23:05 ---------- Previous post was at 23:03 ----------

Ну ладно, всё это всего лишь частное мнение.
Проект получился замечательным и очень насыщенным всевозможным железом.
Всё получилось прекрасно! :)

alone
04.04.2014, 23:09
Смотрю. Большинство нового софта работает правильно на 14 МГц, но на глюки тоже забавно посмотреть. У меня эмулятор тоже на 14 МГц настроен.

alvis
04.04.2014, 23:57
Влад, примеры? Размеры файлов на Спекки не требуют такой скорости процессора.
Для клонов, таких как Профи, АТМ и др. имеющих расширенный экран и возможность полноценно работать с другими операционными системами (той же СР/М), высокая частота очень даже не помешает. Например на том же Профи стереоковокс в турбо режиме играет очень даже неплохо. Ну и работать приятно без тормозов.

vlad
05.04.2014, 00:23
лишние телодвижения с отключением ненужной скорости ИМХО несколько неудобно.
Для этого осталось сделать Setup. Настройки хранить к примеру в PCF8583, т.е. уже начать ее использовать хотя бы частично. alone уже указал какие порта следует юзать (http://zx.pk.ru/showpost.php?p=697114&postcount=1161) демкам и программам.

Тут дело привычки, большей частью. Да и образы SCL никто не отменял...
Проблема решается простым созданием TRD, с последующим переносом в него SCL. Т.к. модификация файлов, больше всего идет именно в TRD.

Влад, а ты точно уверен, что для ОСД нужно такое высокое разрешение?
То, что по 'F8' это текстовый режим. Использовался для теста. Т.е. есть возможность перенести его как текстовый режим под управление T80.
OSD же это небольшое окно, как к примеру в такой конфигурации (http://www.youtube.com/watch?v=HTMV8tA2qwQ). Т.е. удобная опция.

Просто, беря машинку в поездку или в гости на бочку пива, проще найти телевизор, чем свободный монитор ВГА...
По этой причине в U16 уже HDMI. Т.к. в телевизорах их по 4-ре шт. Да и беспокоится про аудео шнур не нужно. Почему-то больше вериться что HDMI лучше для этого подходит (http://joco.homeserver.hu/zxpipi/).

По своему опыту скажу, что режим 14 МГц на ZX Evo используется чаще, чем все остальные.
Те, кто больше делает на этих компьютерах, а не играет, меня поймут.

платкам не хватает одной важной вещи... Программатора, usb. Чтобы юзеры знали, что обновить игрушку - не проблема.
Это не проблема еще с версии 0.4 (http://zx.pk.ru/showpost.php?p=623731&postcount=905), как только переселил ROM во FLASH. Осталось малое, написать простенькую программку-флешер и заливать любые конфигурации с SD.

Ewgeny7
05.04.2014, 12:18
Для клонов, таких как Профи, АТМ и др. имеющих расширенный экран и возможность полноценно работать с другими операционными системами (той же СР/М)
Да кто же спорит, что в сипиэм лишней скорости не бывает :)
Но мы обсуждаем uX_Speccy. Машинку, на которой конечный юзер будет загружать Диззи или Сатисфэкшн и в панике вспоминать, где кнопка для отключения скорости и материться, если не сразу нажмет на нужную...
Я же это имею ввиду.

alone
05.04.2014, 12:24
А разве нет энергонезависимой памяти для сохранения настроек?

Ewgeny7
05.04.2014, 12:30
Для этого осталось сделать Setup.
Тут согласен полностью. Сетапа не хватает для полного комфорта.


Проблема решается простым созданием TRD, с последующим переносом в него SCL. Т.к. модификация файлов, больше всего идет именно в TRD.
Да, решается. Вчера я так и сделал с тремя СКЛ-образами. Правда, их осталось еще тысяч десять, но за остаток жизни я это сделаю! :)


По этой причине в U16 уже HDMI. Т.к. в телевизорах их по 4-ре шт.
Да, верно. Именно по этой причине я и не интересуюсь этой платкой... Ни у меня, ни у моих знакомых нету ХДМИ... Ни одного. Вероятно, странное стечение обстоятельств, или еще какая причина... Может, просто вовремя нужно менять телевизоры? :)
Но тут все равно трудность, занимаясь разработкой, заодно занимаешь и телевизор с ХДМИ, что чревато получением сковородкой по башке от любительниц сериалов :)

---------- Post added at 12:30 ---------- Previous post was at 12:28 ----------


А разве нет энергонезависимой памяти для сохранения настроек?
часы с батарейкой, там достаточно свободных ячеек.

vlad
05.04.2014, 12:45
Да, верно. Именно по этой причине я и не интересуюсь этой платкой...
Да ладно... Сделать простой переходник с резюками с разъема HDMI->VGA что слабо? HDMI_DET# для чего?
Звук зацепить с uBUS.

Ewgeny7
05.04.2014, 13:07
Сделать простой переходник с резюками с разъема HDMI->VGA что слабо?
Да не привыкать :)

http://img-fotki.yandex.ru/get/9831/79278654.0/0_102fec_fdf3b6a1_XL.jpg

Ewgeny7
05.04.2014, 13:23
HDMI_DET# для чего?
Действительно, а для чего?

---------- Post added at 13:23 ---------- Previous post was at 13:21 ----------


Парочка буферов с разъемом и DivIDE точно заработает
ДивММЦ уже работает, народ перетопчется без винчестеров :)

vlad
05.04.2014, 13:25
Да не привыкать
Поделился бы уже и рабочей схемой... :) Разъемы X9 и X10 смотрю свободные. Тут народ помнится тоже возмущался на счет подключения реальных YM2149, AY8910, HDD и FDD, так что есть еще шанс... Парочка буферов с разъемом и DivIDE точно заработает :) А там всякое видео уже есть (http://divideo.zxdemo.org/).

Ewgeny7
05.04.2014, 13:28
Поделился бы уже за разом и рабочей схемой... Разъемы X9 и X10 смотрю свободные. Тут народ помнится тоже возмущался на счет подключения HDD и FDD, так что есть еще шанс... Парочка буферов с разъемом и DivIDE точно заработает
Можно и "дочку" соорудить, сверху вторым этажом прицеплять. Там и видео какое хочешь, и контроллер дисковода... Два-три человека будут рады :)

alvis
05.04.2014, 14:43
Машинку, на которой конечный юзер будет загружать Диззи или Сатисфэкшн и в панике вспоминать, где кнопка для отключения скорости и материться, если не сразу нажмет на нужную...
Я же это имею ввиду.
Не убедил. Для этого достаточно сделать стандартными режимы по умолчанию. А еще лучше иметь возможность устанавливать режимы по умолчанию из сетапа. Но кнопки которые позволяют менять режимы "на ходу", тоже нужны. Кому они нужны, запомнят их. Кому нужны редко - или в хелпе подсмотрят или в сетапе поменяют.

Ewgeny7
05.04.2014, 19:54
Не убедил. Для этого достаточно сделать стандартными режимы по умолчанию. А еще лучше иметь возможность устанавливать режимы по умолчанию из сетапа.
Про это разговор далее и пошел, что "для простого деревенского парня" нужно по дефолту иметь конфиг, готовый к запуску РиверРайд. Никто не запрещает иметь режим хоть 28Мгц, но запускаемый спецкнопкой или включаемый принудительно с сетапе.

---------- Post added at 19:54 ---------- Previous post was at 19:50 ----------

Кстати, лично для себя я переделал конфиг Влада по такой схеме - после включения по дефолту - 3.5Мгц, переключается кнопой на 7Мгц. А 14Мгц включается отдельной кнопой триггерно с текущей скоростью. Т.е, всего лишь чуть-чуть изменил логику режимов.
Влад - гениальный разработчик, но юзеры-то смотрят на мир по-другому немножко :)

alvis
05.04.2014, 21:17
нужно по дефолту иметь конфиг, готовый к запуску РиверРайд
Кстати о птичках, а существует ли в природе конфиг ATARI на fpga?

vlad
05.04.2014, 21:38
а существует ли в природе конфиг ATARI на fpga?
Да, существует. Будет портирован на U16.
Для начала хоть Turbo2+, TS-Conf перенести на U8. Проект не коммерческий, так что подождать придется, пока руки дойдут.

Ewgeny7
05.04.2014, 23:05
vlad, я где-то давно видел таблицу VGA-сигналов, там указывалась и полярность синхры на низких разрешениях. Всё перерыл, не найти...
Но вот одно давнее наблюдение - при "нашем ВГА" картинка гораздо лучше выравнивается, если кадровый синхросигнал выдавать не инверсным, а обычным (активный '1'). Если интересно, попробуй на своих мониторах.
Например, u8_speccy не хотел упорно укладываться в экран моего ACER V173, съезжал вниз, даже рамка не помогала, но инвертировав синхру (кадровую) картинка подхватилась и заполнила весь экран.

---------- Post added at 23:05 ---------- Previous post was at 23:01 ----------

Я приделал Speccy переключаемый видеовыход TV/VGA, теперь я совсем доволен :)

alvis
05.04.2014, 23:38
vlad, я где-то давно видел таблицу VGA-сигналов, там указывалась и полярность синхры на низких разрешениях. Всё перерыл, не найти...
Вот тут есть http://www.mirsb.ru/published/publicdata/MIRSBRUMIRSB/attachments/SC/products_pictures/G-VGA-1.pdf

Ewgeny7
05.04.2014, 23:41
Вот тут есть
Да, это примерно та табличка. И там интересные откровения написаны про полярность...

vlad
05.04.2014, 23:56
Я приделал Speccy переключаемый видеовыход TV/VGA, теперь я совсем доволен
Надеюсь, что эта возможность появится в настройках и будет доступна в обновлении.

где-то давно видел таблицу VGA-сигналов
VGA Signal Timing (http://tinyvga.com/vga-timing)

Ewgeny7
06.04.2014, 00:52
VGA Signal Timing
Не увидел я там полярностей синхры :(
Но по ссылке alvis всё это есть. Переделал синхру целиком под активную единицу, картинка замечательная.
Есть одно "но", оно идет еще с твоей непеределанной версии - идет перекос цветов в режиме "классический спектрум", как буд-то гашение не работает на время синхры. Белый экран спектрума становится грязно-серо-зеленоватым. В режиме "пентагона" картинка отличная. Надо смотреть константы в видеогенераторе, вероятно небольшое смещение синхры поможет...

vlad
06.04.2014, 01:22
Не увидел я там полярностей синхры
Всё там есть, смотри внимательно:
Horizontal timing (line)
Polarity of horizontal sync pulse is negative.

Vertical timing (frame)
Polarity of vertical sync pulse is negative.

идет перекос цветов в режиме "классический спектрум"
На CRT все отлично показывает, на LCD согласен, режим не тот, так как древний это изврат. Отвяжись от multicolor и все будет зачетно в 60Hz. shurik-ua сделал под свой LCD спековский режим, все отлично показывает, но игру лучом нужно корректировать уже программно.
В общем все параметры можно настроить и сохранить в нескольких пользовательских настройках, выбрав нужную потом из списка.

Ewgeny7
06.04.2014, 11:47
Всё там есть, смотри внимательно:
Да, увидел, мелкий текст между таблицами.
Спасибо!


На CRT все отлично показывает, на LCD согласен, режим не тот, так как древний это изврат.
Народ не поймет :)
В старой версии Спекки, когда еще lisica активно учавствовал, у нас получилось сделать оба режима смотрибельными...
Ну ладно, доковыряю уже video.vhdl под свои хотелки.
Влад, добавь, пожалуйста, в клавиатурный модуль левый CTRL:

when '0' & X"14" => keys(7)(1) <= release; -- Left CTRL (Symbol Shift)

Неудобно, когда SS находится только справа.
А у слим-клавиатур типа моей (http://www.dx.com/ru/p/ehere-slim-keyboard-ps2-802) вообще нет правой кнопки CTRL :(
Между прочим, рекомендую такую клаву. Я себе уже вторую заказал, первая - ну очень нравится!

---------- Post added at 11:47 ---------- Previous post was at 11:45 ----------


но игру лучом нужно корректировать уже программно.
В общем все параметры можно настроить и сохранить в нескольких пользовательских настройках, выбрав нужную потом из списка.
Ох и суровый подход! :)

lisica
06.04.2014, 12:34
когда еще lisica активно учавствовал
Поучавствовал бы и сейчас, но...
1 - нету девайса.
2 - нету времени.
3 - куча разных прибамбасов в которых я полный 0.
4 - раньше попроще было написано, теперь во Владовых исходниках долго надо разбираться что к чему. ( тогда почти вместе начинали писать)

Ewgeny7
06.04.2014, 13:43
Вот, и мультиколоры все на месте...

lisica
06.04.2014, 14:17
В музей Mad Killer/PG передал U9?
Не, U9 у меня, нет U8 и u16. Я ему только старую плату Робика отдал, ту, что Виктор делал с турбо контроллером.

Ewgeny7
06.04.2014, 16:47
Так они там всегда и были
Были, да. Только я изменил положение синхры, чтобы "засветки" не было о которой я писал недавно :)

Ewgeny7
06.04.2014, 16:54
Вот задачку приатачил, догадаешься в чем дело?
Эээээ... Интел Инсайд и 50% производительности?
Ответ - программу писал в доску укуренный программер? :)

Ewgeny7
06.04.2014, 17:15
Может в репу надо закинуть, чтоб была возможность ковыряться вместе?
У меня пичалька, я не только не знаю толком, нафига придуман репозитарий, но и неумею :(

kas29
06.04.2014, 17:37
Что-то не нашел, как запустить(описание) с карты игры(утилиты), на орионе?(u9)

Ewgeny7
06.04.2014, 18:15
kas29, Орион?
Там нужно запустить СР/М, а уже с него - игры.

kas29
06.04.2014, 20:08
kas29, Орион?
Там нужно запустить СР/М, а уже с него - игры.

Да, да... Ewgeny7, Орион. Только руки дошли до платки..) А тут и не выходит ничего загрузить... CP/М запускаю, а карточку не видно...
Чего на неё записать нужно? Программы накидал, а толку нет.. Может что не так делаю? И вот ещё, Ewgeny7, только через CP/М можно запускать?
Какой порядок записи, загрузки на карту/с карты?

Ewgeny7
06.04.2014, 20:44
Инструкция была, где-то...
Суть в том, что Орион работает с SD как с винчестером СР/М.
Для этого нужно тупо записать на карточку образ винта, посекторно.
И сам образ, и копировальщик сейчас поищу.
После запуска СР/М запустится нортон коммандер, уже с самой карты. Знаменитые синие окошки :)
А там уже можно выбирать игрушки.

---------- Post added at 20:44 ---------- Previous post was at 20:33 ----------

Вот архивчик (http://yadi.sk/d/2HiTroo3LuLAK).
Там образ винта 96Мб для записи на флешу, и сам копировщик. Он - консольный. Инструкцию выдает при запуске из окна cmd винды. Сначала командой hddutil /list смотришь, под каким номером у тебя в системе стоит СД-флешка. Обычно 1 или 2.
Затем командой HddUtil.exe orion-sd.ohi 1: /c=196608 запускаешь запись образа. это длится довольно долго. Вместо цифры 1 может быть другая. которую тебе выдаст система.

MVV
05.08.2014, 10:02
Закончил перенос конфигурации TSConf на U9. Добавил GS, TS. Осталось еще научить загрузчик FAT32.
Кто может поделиться драйвером CD Card под z-controller для FAT32?

kasper
05.08.2014, 12:59
А под ю8 ТСКОНФ будет? Нa cчет драйвера все есть в репозитории pentevo. Только его выдирать нужно из исходников.

Отправлено с моего SK17i через Tapatalk

MVV
06.08.2014, 02:27
Конфигурация TS-Conf для ReVerSE-U9

:cool_std: Поддерживаются: Soundrive, TurboSound, GeneralSound, UART, Kempston mouse, RTC...

http://zx-pk.ru/attachment.php?attachmentid=48959&d=1406750698

Просьба потестировать. Для загрузки zxevo.rom нужна карточка в FAT16 (кому не нравится просьба доработать загрузчик). Её форматируем и первым файлом записываем zxevo.rom. WC работает с FAT32, так что, нужна будет вторая карточка, куда пишем все остальное папки SD из архива.

Ewgeny7
12.08.2014, 22:27
Работа по усовершенствованию конфигурации, в связи с ее невостребованностью на этом ресурсе проводиться не будет.
u8... пускай даже и с допайкой.

alvis
12.08.2014, 23:27
Сообщение от MVV
Работа по усовершенствованию конфигурации, в связи с ее невостребованностью на этом ресурсе проводиться не будет.
Может невостребованность не на ресурсе, а на платформе? U9 вроде никогда особенно и не был сильно востребован? U8 и U10, но U10 больше не выпускается, так что остается U8.

MVV
12.08.2014, 23:28
u8... пускай даже и с допайкой.
Тогда от вывода 30 FPGA (переходное отверстие справа от генератора QG1) проводок к выводу 39 SDRAM который нужно отсоединить от GND. Резистор R41 10K и крайний контакт справа на SD разъеме нужно убрать.
Ну или бодайте контроллер SDRAM с burst terminate...

MVV
13.08.2014, 01:26
Конфигурация TS-Conf на ReVerSE-U8.

alvis
13.08.2014, 03:19
Конфигурация TS-Conf на ReVerSE-U8.
Это с переделкой?

Ewgeny7
14.08.2014, 19:07
Заработала ТСконф. Правда, пока не разбирался, как ею пользоваться.... Вывалился в трдос из начального меню (шрифты красивые!) и на этом пока всё :)
Маленький проводочек на плате картину совсем не портит, почти не заметен.
И вот что, Влад... У тебя упорно в раскладке клавиатуры SymShift привязан к правому CTRL... Конечно, это мой личный каприз, но - на моей слим-клаве этого правого контрола просто нету, вообще нету :) Может, хоть на правый шифт повесишь эту кнопку?

---------- Post added at 19:07 ---------- Previous post was at 19:06 ----------


В общем, тему можно закрыть...
Фигу тебе :)

---------- Post added at 19:07 ---------- Previous post was at 19:07 ----------


Конфигурация TS-Conf на ReVerSE-U8.
Эта картинка из какой программы?

Ewgeny7
14.08.2014, 22:09
Маленький, но зверский глюк - у меня не стартует zxevo.rom, если оно залито на флешку с FAT32. Но прекрасно запускается, если карта отформатирована на FAT16. Разумеется, boot$ далее с фат16 не грузится... Карта - 2Gb Трансценд, самая обычная, не SDHC.
Попинайте dsp, это ведь его дровайвер?

Ewgeny7
15.08.2014, 08:03
Влад, а можно тебя попросить поделиться сырками текущей версии для u8?

---------- Post added at 08:03 ---------- Previous post was at 08:03 ----------

И, насчет форума, ты прав... Давно уже заметил...

trader2k4
15.08.2014, 09:44
И, насчет форума, ты прав... Давно уже заметил...

Да ладно вам. Лето же, кто сейчас на форумах сидит?
Да и с паяльником на пляже валяться странно :)

Люди в сентябре сюда потянутся, ну и "долгими зимними вечерами", ИМХО.

Shadow Maker
15.08.2014, 11:27
Эта картинка из какой программы?
http://zxaaa.untergrund.net/view_demo.php?id=8361

TSL
15.08.2014, 17:38
Вижу, надо доку по конфе писать. Пришло время... :o

TSL
15.08.2014, 17:46
TSL, добавь в Setup поддержку GS, ну и на будущее DMASC. А то в WC виснит при загрузке видео .TGV с включенным GS.
GS в биосе поддерживается в виде ресета оной он/офф. Что еще добавить?
DMASC - ололо?

TSL
15.08.2014, 18:01
Я не понимаю что значит "включенном GS".

---------- Post added at 17:01 ---------- Previous post was at 17:00 ----------


По поводу новой DMAUSC вместо GS.
Что это за проект?

Blade
15.08.2014, 18:03
А то в WC виснит при загрузке видео .TGV с включенным GS.
Ему NeoGS нужен, он мп3 играет.

Ewgeny7
15.08.2014, 18:09
Вижу, надо доку по конфе писать. Пришло время...
Да, было бы очень хорошо. Даже имея Эволюшен, никогда ТСконфу еще не ставил...

MVV
15.08.2014, 19:11
Ему NeoGS нужен, он мп3 играет.
В добавок плагин при загрузке .tgv намертво вешает GS. Там проблема с детектом железа?

TSL
15.08.2014, 20:47
В нормальном Setup есть опции включения или отключения набортного железа.
Не вижу связи между НГС и набортным железом.

Да, и каким софтом настраивать системные часы?
Пока что через OUT из бейсика.

Исходники могу дать
Ну хоть словами опиши.

Какие драйвера нужно заменить или доработать?
Два варианта:
1. Сделать эмуляцию бортового мр3 декодера на НГС (МА8201 вроде там - аналог одного из первых VLSI, он с 1053 кажется несовместим).
2. Попросить автора WC пропатчить плеер под 1053.

TSL
16.08.2014, 00:12
В добавок плагин при загрузке .tgv намертво вешает GS. Там проблема с детектом железа?
Проблема с его отсутствием...
Скорее всего, вешается на ожидании статуса готовности мр3 декодера.

TSL
16.08.2014, 12:26
TSL, как думаешь, можно ли добавить в систему DMAUSC? Задача - обеспечить защищенность процесса плеера в памяти. Доработать MMU, контроллер прерываний, DMA.
Про DMAUSC - попросил описать, что это такое. Ну ОК, я в общих чертах представляю. Я давно хочу впихнуть кору вейв-синтеза, наподобие GUS или OPL4.
Добавить ММУ и допилить котроллер интов - плюсую.
А как у нас обстоит дело с "умным" контроллером СДРАМы и кешей?

TSL
16.08.2014, 13:23
OPL2 уже давно у меня на U8 работает
Я написал OPL4. Поинтересуйся.

Zet или Minimig. К стати, этими конфигами скорей всего и буду заниматься
A1200 "заняться" я так понимаю ты ниасиливаешь? )

TSL
16.08.2014, 14:45
Или может ты займешься поддержкой U8 и U9
Займусь конечно.
MVV, пока занимаюсь в свободное время тем, что более интересно и нужно.

Ewgeny7
19.08.2014, 22:34
Влад, таки есть сырки ТS, которые ты скомпилил для заливки на U8? На репе валяется непоймичтонекомпилируемое безмодулейклавывтомчисле :(

---------- Post added at 22:34 ---------- Previous post was at 22:30 ----------

Читаю форум, который ты указал, увлекательно :)
Но пока не регаюсь.

andykarpov
10.09.2014, 12:31
А куда делись исходники проекта http://reverse-u8.googlecode.com/svn/ ? Там в svn как-то стало пусто, ни одной ревизии в истории. Хотя точно помню, что видел там исходники всех доступных конфигураций.
Есть у кого-то бекап ?

MVV
10.09.2014, 12:38
andykarpov, какая конфигурация интересует?

andykarpov
10.09.2014, 12:41
andykarpov, какая конфигурация интересует?

86РК, Орион-128, Speccy, NES.

TSL
10.09.2014, 12:48
Афтар жмет. Удоляй исчо.

andykarpov
10.09.2014, 12:55
MVV, Спасибо за ссылочку на NES. Погляжу на досуге.
Остальные конфигурации из списка найдены только в виде уже готовых sof, интересуют именно исходники.

Ewgeny7, Можете поделиться исходниками РК86 и Орион-128 ?

Можно ли узнать у глубокоуважаемого сообщества, по какой причине исходники из svn исчезли ? Есть, по всей видимости, какая-то предыстория, о которой умалчивается?

MVV
10.09.2014, 12:59
Радио-86РК (http://zx-pk.ru/showpost.php?p=636848&postcount=1083)
Орион-128 (http://zx-pk.ru/showpost.php?p=353091&postcount=79)
Speccy (http://zx-pk.ru/showpost.php?p=619825&postcount=896)
NES (http://zx-pk.ru/showpost.php?p=630745&postcount=992)
Первые две делал Ewgeny7, у него и исходники. Последняя в оригинале лежит здесь (https://github.com/brianbennett/fpga_nes).


Афтар жмет. Удоляй исчо.

TS-Labs wrote:
Варианты:
1. Дождаться, когда я портирую конфу на U8. Плюсы: можно будет влет натянуть на U16, кроме того, я хочу не просто портировать влоб, а сразу делать запас для всяческих доработок (мегагерцы, расширенная графика). Минусы метода - долго ждать, хотя процесс идет.

2. Помочь в портировании (хотя я помню, что отказался в свое время). Что я бы хотел в плане помощи: перетащить на верилог все модули периферии (которые изначально не на верилоге) - сорри, но на вхдл я хоть и пишу кое-как, но поддерживать сорцы на нем бррр...

3. Если особо не стараться, можно просто проэмулировать пентевовскую драму на сдраме. Придется переделать модуль арбитра и рам-контроллера, потому что т80 работает совсем не так, как железный зетник. Минусы: для дальнейшего развития все равно придется делать то, чем я занимаюсь в п.1.Ну и обязательно навести порядок с таймингами.


TS-Labs wrote:
Что я сейчас делаю для на U8 (и это будет потом использовано для U16, ZX-Advance, TSXB):
4. кеши для процессорных ядер,

5. нексты на приемлимой частоте (для ц3 это 33МГц),

6. ДМА бурстами,

7. переделка TSU под сдраму,

8. допиливание сдрам контроллера под умную работу с банками.

9. Z80 планируется гонять в 2-х режимах: 3.5МГц с классик таймингами и макс.частота в "однотактовом" режиме. 7 и 14 МГц фтопку ибо толку от них.

TS-Labs, а как там конфиг под U8? Или это потомственные тролли, а не твое унылое трололо ))

TSL
10.09.2014, 13:08
"Гражданин без очереди, вас тут не стояло!"

---------- Post added at 12:08 ---------- Previous post was at 12:07 ----------


TS-Labs, а как там конфиг под U8? Или это потомственные тролли, а не твое унылое трололо ))
(quote)

Ewgeny7
13.09.2014, 20:06
Исходники (https://yadi.sk/d/Qr9bFDiNbTcUU) для u8_Reverse.
Орион, РК, ЮТ, Башкирия, КАЙ.

MVV
15.09.2014, 14:49
Потихоньку прикручиваю настройку времени и даты в загрузчике для ts-conf. Попробую добавить поддержку FAT32 для загрузки rom c sd карточки.

MVV
21.09.2014, 16:30
Прикрутил чего-то куда-то там, перечислять не буду, т.к. все равно никому ненужно. Оставлю здесь для истории. Кто еще не разучился мыслить разберется, исходники в репе.

alvis
21.09.2014, 16:53
Прикрутил чего-то куда-то там, перечислять не буду, т.к. все равно никому ненужно. Оставлю здесь для истории. Кто еще не разучился мыслить разберется, исходники в репе.
А без аппаратной доработки пока запустить не получится? И влияет ли эта доработка на установку других конфигов?

MVV
21.09.2014, 19:30
А без аппаратной доработки пока запустить не получится?
Да, без доработки работать не будет. Хотя теоретически возможно подшаманить пакетный цикл, обрывая его командой BURST TERMINATE когда не нужна передача старшего байта данных (8..15). Просто стало интересно, сделает ли кто, эту полезную всем доработку в модуле sdram.vhd, чтобы не перепаивать :)

И влияет ли эта доработка на установку других конфигов?
Влияет, т.к. в доработке задействован сигнал DQM#. Который раньше был подключен к GND. Чтобы другие конфигурации работали на плате с доработкой, нужно в них добавить одну строку DQM <= '0';
Доработка нужна только для платы ReVerSE-U8 для работы конфигурации ts-conf (http://forum.tslabs.info/index.php).

В последней конфигурации поддерживается 512 цветов (Video-DAC), GeneralSound, TurboSound, Soundrive... Также работают все демки (переключение видео режима клавишей [PrtScr]).

Есть возможность подключить Ethernet контроллер или USB-Host такой-же как на ReVerSE-U16. Или расширитель - шина ZX-BUS, Nemo-BUS. Можно также прикрутить видео контроллер FT800(FT801) с резистивной или ёмкостной видео матрицей. Ссылки на демонстрационное видео я выкладывал в топике ZXM-VideoCard (http://zx-pk.ru/showpost.php?p=737954&postcount=321).

Ewgeny7
21.09.2014, 20:24
ФАТ32 не прикрутил? :)

Ewgeny7
22.09.2014, 13:37
Можешь прикрутить если осилишь

Влад, я пока другим развлекаюсь, ваяю Орион-Про на u8. Свою версию РК86 для ТВ уже закончил. Делаю "Про" с нуля, ибо так интереснее и аккуратнее получается. До ФАТа не скоро доберусь...

Vovan2109
26.09.2014, 08:54
Добрый день.
Заинтересовался вашим проектом Reverse U16.
Подскажите пожалуйста, планируется ли реализация конфига minimig (Amiga A500)?
Если да, то какой функционал будет реализован ?
Когда примерно ожидать можно ?
Возможно ли использование для этого версии Reverse U8, U9 ?
Спасибо за внимание.

MVV
26.09.2014, 14:42
Подскажите пожалуйста, планируется ли реализация конфига minimig (Amiga A500)?
Да, планируется. Также планируется портирование конфигураций Zet, Atari ST, Sega и NES.

Если да, то какой функционал будет реализован ?
Во многом зависит от тех, кто будет участвовать в портировании конфигураций.

Когда примерно ожидать можно ?
Как только кто-то и как-то заинтересует разработчиков. Думаю за пару кило-баксов можно будет договориться с разработчиками этих конфигураций для их портирования. Вряд ли кто-то готов тратить свое время угождая всем. Ну или учите мат-часть и портируйте самостоятельно, если думать не разучились ещё.

Возможно ли использование для этого версии Reverse U8, U9 ?
Теоретически возможно, использовать к примеру бурст в sdram контроллере, т.к. на u8/u9 sdram 8bit. Замена osd контроллера на что-то менее ресурсоемкое.

ivagor
07.10.2014, 14:50
Всё, мануал по проектированию простого спектрума на ПЛИС закончил.
Только сегодня увидел и сделал вариант (https://yadi.sk/d/7_lrEc65brmbU) для de1_soc

Ewgeny7
07.10.2014, 15:06
Только сегодня увидел и сделал вариант для de1_soc
А почему без исходников? Обучалка, как-никак, они должны быть доступны :)
Правда, сейчас я несколько по-другому бы проект написал... Ну да ладно.

MVV
07.10.2014, 15:40
Только сегодня увидел и сделал вариант для de1_soc
Звука почему-то нет :)
Порт MSX или ещё какая нибудь конфигурация есть?

Не знаешь как задействовать набортный microSD? Неохота паять шилд.