Просмотр полной версии : Помогите с ПЛИС, начинающему разобраться.
Viktor2312
27.01.2012, 12:34
...
Явно взлом софта никаким местом к Unsorted.
Для начала можеш не париться а скачать бесплатную Web Edition версию квартуса и не маится с кряками, разница для начинающего вообще ниочем, нет поддержки hiend чипов, не поддерживается многопроцессорность и нет инкрементальной компиляции, которая на мелких прошивках и не нужна.
Установка ПО QuartusII (http://marsohod.org/index.php/aquartus2)
что файл используется другой программой
квартус не должен быть запущен. ещё вариант проделать замену файла в безопасном режиме венды.
безопасном режиме венды.
или с правами админа, бо 7ка, к примеру, не всем смертным дает доступ на запись файлов на диске С:
ананизм
озвучте плиз, чем плоха Web Edition версия?
1. Я как писал так и на практике уяснил что Web версия - *****. Раньше она у меня через десяток компиляций вообще отказывалась проверенный проект запускать. Возращаюсь обратно к архиву проекта, стираю историю, компилирую - всё работает. Опять ненадолго. Кому нравится Web версия, тот значит не юзал её основательно, по 20 перекомпиляций в день. И прав Ewgeny7 в том плане, что шустрит полная версия с несколькими ядрами - пару минут и компиляция готова.
2. Можно проверить установленный крек в Квартусе так. В меню Tools/License Setup даёт такое окно:
http://zx.pk.ru/attachment.php?attachmentid=32559&stc=1&d=1327687655
кстати уже где то была подобная тема про альтеры и новичков. но как я помню там небыл озвучен один момент - на чём ставить эксперименты? девборды типа альтера де и ей подобные это полуфабрикат. там уже всё распаяно и стоит конкретная плисина. а если хочеца поэксперементировать с другой плис (другой чип), да и схемка если другая вырисовывоться должна, как тогда быть? на макетине с проводками? или....?
на чём ставить эксперименты? девборды типа альтера де и ей подобные это полуфабрикат
u8, u9, u10 - мне кажется вполне для этого пойдёт. Ещё есть вот эта платка (http://marsohod.org/index.php/howtostart/plata).
Sayman, а чем не кошерно использовать готовые решения (девборды)? Отполировав проект всегда можно легко перенести его на другую плату/распиновку/чип_ПЛИС. В этом плане средства разработки ПЛИС очень гибкие, да и у ПЛИСок большинство ног можно назначать как тебе заблагорассудится, не меняя исходного кода прошивки.
ну например, к таким платам не подрубить зетник, вг93, ау и много чего...это если вести речь про эксперименты по соответствующей тематике..
Вот, кстати, натолкнулся на интересный вариант применения ПЛИС - ядро AVR ATtinyX61 (http://opencores.org/project,avrtinyx61core). Т.е., можно запросто фпихивать в ПЛИСу микроконтроллерные устройства. Там же есть еще несколько реализаций AVR и PIC.
---------- Post added at 19:28 ---------- Previous post was at 19:25 ----------
к таким платам не подрубить зетник, вг93, ау и много чего...это если вести речь про эксперименты по соответствующей тематике..
Ну, если "по науке", то - да, у циклонов напряжение I/O 3.3 вольта вместо 5-ти. Низзя без выкрутасов. А если не по науке - то просто берешь и подключаешь. Уже сто раз проходили это. Резисторы или диоды рулят.
ну вот смотрю я на фотку де0 и де1... есть два разъёма 40ка пиновых. я так понимаю внешний обвес через них подключаем? т.е. на макетине разместить проц и мелочь (резюки, диоды и прочее) вывода прокидывать на ... куда? с макетины на бороду...
ну например, к таким платам не подрубить зетник, вг93, ау и много чего...это если вести речь про эксперименты по соответствующей тематике..
Если проблема состоит только в этом, то это не проблема. Какой в этом смысл? Если все это уже внутри?
не, надо с реальным железом...тем более если засовывать ау, проц, вг93 в альтеру, то тогда места для другого не остаётся.
не, надо с реальным железом...тем более если засовывать ау, проц, вг93 в альтеру, то тогда места для другого не остаётся.
Попробуйте начать с простого, возможно что для другого дело и не дойдет :)
Можно и Ацекс пятивольтовый использовать, но это уже устаревший чип. Он в Эволюшене стоит, к примеру.
да дело не в 5в, а в том, что к девбордам типа де0 или де1 подрубить реальный z80 и потом ставить эксперименты, чтобы прошивка с зетником заработала..типа спек такой)))
Sayman, т.е. тебе нужно, чтобы стопиццот выходов плиски шли на макетку с зетником? :)
Таких вроде не встречал. МГТФ тебе в руки.
если засовывать ау, проц, вг93 в альтеру
А что уже ВГ93 есть?
А что уже ВГ93 есть?
И я спрошу - есть?????!!!!????
Нету, нету. Успокойтесь :)
Советую посмотреть на проект svofski Вектор-06ц для DE1. Правда, там ВГ93 не на VHDL или verilog-е, там для этого дополнительный процессор, но ведь внутри альтеры.
Советую посмотреть на проект svofski Вектор-06ц
Смотрел, даже перенес проект на Reverse (http://zx.pk.ru/showpost.php?p=458893&postcount=830). Да там есть поддержка wd1793, но всем разруливает 65c02. Аналогично, сейчас разрабатываю модуль на RISC, задачей которого будет разгрузка камня по LE и перенос эмуляции периферии (FDD, RTC...) на оверлеии с возможностью изменения и настройки в реальном времени, добавлю еще и OSD.
Подскажите пожалуйста по поводу программирования ПЛИС. Какой нужно собрать программатор? И будет ли он программировать из программы Quartus II 9.1
USB Blaster. Или самый простой ByteBlasterMV.
Аналогично, сейчас разрабатываю модуль на RISC, задачей которого будет разгрузка камня по LE и перенос эмуляции периферии (FDD, RTC...) на оверлеии с возможностью изменения и настройки в реальном времени, добавлю еще и OSD.
Было бы классно!
USB Blaster. Или самый простой ByteBlasterMV.
Вот схема (http://www.spetsialist-mx.ru/schemes/ByteBlaster.png). Ищем обычную АП5 и вперёд! Если нет LPT порта в компе нужно купить адаптер PCI-LPT - у меня его удачное подключение и настройка, до сих пор работает - вкладка "Настройка для прошивки ПЛИС и FPGA в QUARTUS II с переходником PCI-LPT" (http://www.zxkit.ru/katalog-1/zxkit-003-1). Программа программатора есть в самом Квартусе.
balu_dark
30.01.2012, 20:22
Vlad - а ты глянь еще исходники MiniMig (это эмулятор Amiga 500/600 ECS ) для Altera DE1 и DE2 . Там есть и OSD и много еще чего. Возможно будет полезным.
http://code.google.com/p/minimig/source/checkout
https://github.com/rkrajnc/minimig-de1
или можно их заменить на 100 Ом?
Можно.
perestoronin
09.02.2012, 01:30
Думаю эта инструкция для новичков по использованию программатора Quartus на 64-битных Linux с кабелем byteblasterMV будет интересна не только мне:
На данный момент осилил уставновку Quartus под Linux Gentoo в каталог /opt/altera на машине на которой не было портов принтера, поэтому пришлось купить PCI-e мультиплату на NetMOS 9912. Не сразу догадался, не без подсказок, как настроить конфигурацию ядра, чтобы появился порт принтера.
CONFIG_PARPORT=m
CONFIG_PARPORT_PC=m
CONFIG_PARPORT_SERIAL=m
CONFIG_PARPORT_1284=y
CONFIG_PPDEV=m
altera "забыла" библиотеки для 64-битных систем...
cd altera/11.1sp1/quartus/
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so.26
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so
cp /usr/lib/libicudata.so.48 linux64/libicudata.so.34
cp /usr/lib/libicuuc.so.48.1.1 linux64/libicuuc.so.33
./jtagconfig --add byteblastermv /dev/parport0
Далее запуск Quartus, запуск autodetect (Quartus увидел обе ПЛИС на плате), затем подцепил в цепочке к нужной ПЛИС файл с прошивкой, выставил галочки программировать и проверить и нажал начать программирование.
ПЛИС в две секунды удачно прошилась.
Могу порекомендовать в качестве программатора вот это (http://we.easyelectronics.ru/plis/usb-blaster.html#cut). Работает через USB, весьма шустро. Из минусов - содержит в себе PIC, но он прошивается "пятью проводками".
У меня этот программатор уже давно служит верой и правдой.
---------- Post added at 21:49 ---------- Previous post was at 21:43 ----------
Технология инкрементальной компиляции в Quartus II
Не забываем, что инкрементальная компиляция работает только на фулл-версиях, кастрированные web-версии не умеют это. Вот для чего торренты и бывают полезными :)
Пробую примеры из сайта... Чёт wait не проходит компиляцию...
Например это.
for I in 0 to 7 loop
if SKIP = '1' then
next;
else
N_BUS <= TABLE(I);
wait for 5 ns;
end if;
end loop;
Выдаёт ошибку
Error (10533): VHDL Wait Statement error at period.vhd(49): Wait Statement must contain condition clause with UNTIL keyword
Error (10441): VHDL Process Statement error at period.vhd(41): Process Statement cannot contain both a sensitivity list and a Wait Statement
wait - не синтезируемый оператор. Он используется только для тестбенча, поэтому для реальной прошивки неприменим.
Тогда зачем он в примеры вписан... с толку сбивает.
Думаю эта инструкция для новичков по использованию программатора Quartus на 64-битных Linux с кабелем byteblasterMV будет интересна не только мне:
На данный момент осилил уставновку Quartus под Linux Gentoo в каталог /opt/altera на машине на которой не было портов принтера, поэтому пришлось купить PCI-e мультиплату на NetMOS 9912. Не сразу догадался, не без подсказок, как настроить конфигурацию ядра, чтобы появился порт принтера.
CONFIG_PARPORT=m
CONFIG_PARPORT_PC=m
CONFIG_PARPORT_SERIAL=m
CONFIG_PARPORT_1284=y
CONFIG_PPDEV=m
altera "забыла" библиотеки для 64-битных систем...
cd altera/11.1sp1/quartus/
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so.26
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so
cp /usr/lib/libicudata.so.48 linux64/libicudata.so.34
cp /usr/lib/libicuuc.so.48.1.1 linux64/libicuuc.so.33
./jtagconfig --add byteblastermv /dev/parport0
Далее запуск Quartus, запуск autodetect (Quartus увидел обе ПЛИС на плате), затем подцепил в цепочке к нужной ПЛИС файл с прошивкой, выставил галочки программировать и проверить и нажал начать программирование.
ПЛИС в две секунды удачно прошилась.
Я пользуюсь 64-битной Calculate Linux (та же Gentoo). Давно пытаюсь разобраться с ByteBlaster'ом и US-Blaster'ом.
Если запускать ./jtagconfig из каталога ./bin, то ругается:
/opt/altera/11.1/quartus/linux64/jtagd: error while loading shared libraries: libaxerces-c.so.26: wrong ELF class: ELFCLASS32
Данная библиотека есть только в 32-битном виде. В /lib64 её почему-то нет.
И ещё, может есть у кого-то опыт установки драйвера USB-Blaster для 64-битного Линукса.
Если нужно запрограммировать ПЛИС, пока приходиться запускать VirtualBox с виндой, что очень неудобно.
----
P.S. А если запускать ./linux/jtagconfig, то пишет:
Unable to add hardware (Communications error)
Я являюсь пользователем линукс с 2007 года и эта ОС является у меня единственной и основной. Там у меня давно уже всё отлажено и возвращятся на винду нет ни малейшего желания. Давным давно держал на винте одновременно линукс и винду, но как показала практика пользовался только одной ОС - Линуксом, т.к. перегружаться очень лень. Как вариант использование VirtualBox'а. Его я запускаю в экстренных случаях, например чтоб запрограммировать ПЛИС.
Каждый сам себе выбирает ОС по вкусу, и мой выбор давно уже сделан.
Дискуссию по ОСям заканчивайте. Для этого есть темы во флейме. Каждый как хочет, так и ...
Vladimir_S
20.02.2012, 09:22
Подскажите, может кто знает, есть куча EPM7032LC44. Т.е. MAX7000 без буквы.
А вот чем их шить?
есть куча EPM7032LC44
Это "предки" 7032SLC44. На таких (LC) чипах раньше делали фирменные "турбинки" для Скорпионов. Для прошивания "последовательными" бластерами они не пригодны, там нужен специальный параллельный программатор. В Петербурге для прошивания этих зверюшек Микка_А когда-то обращался в фирму-дилер "ЭФО". Схемы для самостоятельного изготовления проггера вроде как нету. Можешь ими выложить мозаику в туалете, к примеру :)
perestoronin
20.02.2012, 09:53
Я пользуюсь 64-битной Calculate Linux (та же Gentoo). Давно пытаюсь разобраться с ByteBlaster'ом и US-Blaster'ом.
Если запускать ./jtagconfig из каталога ./bin, то ругается:
/opt/altera/11.1/quartus/linux64/jtagd: error while loading shared libraries: libaxerces-c.so.26: wrong ELF class: ELFCLASS32
Данная библиотека есть только в 32-битном виде. В /lib64 её почему-то нет.
И ещё, может есть у кого-то опыт установки драйвера USB-Blaster для 64-битного Линукса.
Если нужно запрограммировать ПЛИС, пока приходиться запускать VirtualBox с виндой, что очень неудобно.
----
P.S. А если запускать ./linux/jtagconfig, то пишет:
Unable to add hardware (Communications error)
Проверьте настройки ядра Linux, при правильной настройке после сборки ядра и перезагрузки у Вас появится порт принтера /dev/parport0
Как только порт принтера появится, установите dev-libs/xerces-c и dev-libs/icu. Затем найдите три 64-битные .so согласно списка библиотеки у Вас в системе и скопируйте их в каталог 64-битных библиотек Quartus-а переименовав как было указано (всего в каталоге 64-битных библиотек Quartus-а дополнительно должно появиться 4 .so с именами как их ожидает увидеть Quartus).
Vladimir_S
23.02.2012, 04:39
Тогда как обозначается конец строки
Я может ошибаюсь, но после нажатия на Enter.
Конец строки - ;
Простой Enter не означает конца строки, а просто "переводит каретку".
Например, можно записать так:
process(.....) begin .......;
end process;
а можно и более привычно:
process(.....)
begin
......;
end process;
Как видим, Enter просто переносит строку, но ничего более.
Viktor2312, я вот никогда не забивал себе голову подобными вопросами. Зачем?
Синтаксис языка и так интуитивно понятен. Разбираться, что там разделитель, что форматирователь - не вижу смысла.
Компилятор сам следит за синтаксисом. Зачем выполнять его работу? :)
А вот с концом строки не понятно, так как сказано, что смежные лексические элементы разделяются:
комментарий это не лексический элемент (лексема наверное имелась в виду), комментарии всегда парсерами обрабатываются обособленно и к ним применимы тока условия начала и конца комментария и следовательно дальше развитый полет мыслей лишен смысла :)
Вот для примера сделал реализацию простого интерфейса опроса клавиатуры:
Схема:
33296
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity keyb is
port(
CLK : in std_logic;
C : in std_logic_vector(3 downto 0);
R : out std_logic_vector(3 downto 0);
SCAN0 : out std_logic_vector(7 downto 0);
SCAN1 : out std_logic_vector(7 downto 0);
KEY : out std_logic
);
end keyb;
architecture keyb of keyb is
type state_t is (s_idle, s0, s1, s2, s3);
signal state : state_t := s_idle;
signal row : std_logic_vector(3 downto 0) := "0000";
signal data0 : std_logic_vector(3 downto 0) := "1111";
signal data1 : std_logic_vector(3 downto 0) := "1111";
signal data2 : std_logic_vector(3 downto 0) := "1111";
signal data3 : std_logic_vector(3 downto 0) := "1111";
signal K : std_logic;
begin
process (CLK)
begin
if CLK'event and CLK = '1' then
if state = s_idle then
row <= "0000";
data0 <= "1111";
data1 <= "1111";
data2 <= "1111";
data3 <= "1111";
if K /= '1' then
row <= "1110";
state <= s0;
end if;
elsif state = s0 then
if K /= '1' then
data0 <= C;
end if;
row <= "1101";
state <= s1;
elsif state = s1 then
if K /= '1' then
data1 <= C;
end if;
row <= "1011";
state <= s2;
elsif state = s2 then
if K /= '1' then
data2 <= C;
end if;
row <= "0111";
state <= s3;
elsif state = s3 then
if K /= '1' then
data3 <= C;
end if;
row <= "0000";
state <= s_idle;
end if;
end if;
end process;
K <= C(3) and C(2) and C(1) and C(0);
R <= row;
SCAN0 <= data0 & data1;
SCAN1 <= data2 & data3;
KEY <= K;
end keyb;
Моделирование:
33297
память должна запоминать какая кнопка была нажата до выключения питания
В какую память?
тут придётся бороться с дребезгом контактов
зачем? если 0 начал проскакивать то уже как минимум кнопку нажали.
А вообще задачка для микроконтроллера, автомат сохранения данных (ну там по i2c или SPI) будет не так прост.
Память может представлять из себя просто 10 однобитных ячеек
именно из за энергонезависимой памяти задачка как раз для контролера.
Vladimir_S
23.02.2012, 18:04
А кстати, все кто знает, насколько мощные выходы у ПЛИС. Они ток светодиода ~10ма
держат?
Тут мы можем применить туже 24С04 в корпусе SOIC-8, что не особо увеличит как габариты устройства так и потребление электроэнергии. Или с ещё меньшим объёмом памяти если таковые имеются 24С01.
Не забудь процессорное ядро привинтить для обслуживания IIC-памяти :)
А кстати, все кто знает, насколько мощные выходы у ПЛИС. Они ток светодиода ~10ма
держат?
10ма выдержат.
у я не знаю почему Вы так считаете:
читал очень много про парсеры, и писал их по нужде рабочей, даже можно далеко не ходить взять какой нить компилятор компиляторов и посмотреть на доку (к примеру Coco/R), так лексемы описываются отдельно, комментарии описывают отдельно.
Как то так.
Viktor2312, у меня дома сейчас гости маячат, пишу урывками. Попозже буду в твоем распоряжении.
Я так понимаю, тебе сейчас нужно просто создать "пустой" проект, который можно использовать как шаблон. Путем вставления сигналов и компонентов можно будет помаленьку "наворачивать" проект, попутно компилируя его для выявления косяков.
Сейчас (пока гости балаболят) попробую сваять простенький проект.
Vladimir_S
23.02.2012, 18:32
попробую сваять простенький проект.
Только пожалуйста действительно простенький и с комментариями, ато смотришь примеры и бошка кругом идет.
Пример простого обработчика сигналов, с комментариями :)
Проще уже некуда. Открывайте проект в квартусе.
Для примера выбрал чип EPM7128SLC84. При желании можете поменять его на другой в "Assigment" -> "Device".
Также, выходы чипа не привязаны к сигналам проекта. Точнее, они привязаны сами по себе, компилятором. Можно глянуть после компиляции картинку в "Assigment"->"Pins". Там же можно и переназначить сигналы нужным лапкам ПЛИСы.
Выбрал файл -> Open
Надо было выбрать Выбрал файл -> Open project
Неа, неправильно.
Ты должен открыть проект, а не файл.
Команда открытия проекта находится ниже. Тогда и меню станут активны.
---------- Post added at 21:06 ---------- Previous post was at 21:06 ----------
О! Вот и lisica подрулил :)
Интересный глюк квартуса (не раз мне кровь попил)
Имеем:
out1(0) <= result(0);
out1(1) <= not(result(1));
Комперируем, RTL Viewer показывает первый рисунок. Всё правильно (out1(1) <= not(result(1)))
Теперь разгруперуем - второй рисунок - уже out1(1) <= (result(1))
А как теперь посмотреть код
Щёлкни в левом окне на тесте(два раза)
---------- Post added at 20:25 ---------- Previous post was at 20:22 ----------
О! Вот и lisica подрулил
Да, я, это, ничё, что встряю, да? Просто и мне всё это интересно. Может что не знаю - так вычитаю... Или спрошу.
А мы дело с in bit и out bit не имеем. Ewgeny7 правильно написал in std_logic_vector(1 downto 0).
И вообще, их как при начале создания проекта, вручную по памяти вбивать или можно кде-то, как-то выбрать?
Пофиг. Мне лень вбивать и что повторяется(шапка), я просто копирую из одного проэкта в другой. (CTRL+C, CTRL+V)
Даже копирую порты и сигналы, потом их правлю, лишнее удаляю.
Viktor2312, при работе с реальными устройствами забудь про всё, что не является описанием стандартной логики (std_logic). Поэтому типы bit не надо :)
Позже, когда доберешся до преобразования типов, можно будет использовать типы на всю катушку, подключая соответствующие библиотеки. Только вряд ли тебе это понадобится.
Для того, чтобы реализовать проект коммутатора входов, схему которого я выше выкладывал, без памяти, например этих библиотек достаточно будет?
Да, эти либы описывают стандартную логику. Хватит.
И вообще, их как при начале создания проекта, вручную по памяти вбивать или можно кде-то, как-то выбрать?
Ручками. Или копипастой со старого проекта...
perestoronin
01.03.2012, 22:59
Думаю эта инструкция для новичков по использованию программатора Quartus на 64-битных Linux с кабелем byteblasterMV (http://zx.pk.ru/showthread.php?t=12141&highlight=zxkit) будет интересна не только мне:
На данный момент осилил уставновку Quartus под Linux Gentoo в каталог /opt/altera на машине на которой не было портов принтера, поэтому пришлось купить PCI-e мультиплату на NetMOS 9912. Не сразу догадался, не без подсказок, как настроить конфигурацию ядра, чтобы появился порт принтера.
CONFIG_PARPORT=m
CONFIG_PARPORT_PC=m
CONFIG_PARPORT_SERIAL=m
CONFIG_PARPORT_1284=y
CONFIG_PPDEV=m
altera "забыла" библиотеки для 64-битных систем...
cd altera/11.1sp1/quartus/
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so.26
cp /usr/lib/libxerces-c.so.31.0 linux64/libaxerces-c.so
cp /usr/lib/libicudata.so.48 linux64/libicudata.so.34
cp /usr/lib/libicuuc.so.48.1.1 linux64/libicuuc.so.33
./jtagconfig --add byteblastermv /dev/parport0
Далее запуск Quartus, запуск autodetect (Quartus увидел обе ПЛИС на плате), затем подцепил в цепочке к нужной ПЛИС файл с прошивкой, выставил галочки программировать и проверить и нажал начать программирование.
ПЛИС в две секунды удачно прошилась.
В новой версии 11.1sp2 https://www.altera.com/download/software/quartus-ii-we библиотеки приложены, проблема с 64-битными библиотеками имела место только для 11sp1.
Молодцы в altera, быстро сработали, осталось еще только выпустить не просто Web версию (которая загадочным образом раздута до 3Гб), но и Web Light версию, скажем 40Мб было бы вполне достаточно, а также отказаться от использования java и eclipse при разработке оболочки в пользу gtk3.
А лучше Full версию, с Web ты ещё намаешься...
perestoronin
03.03.2012, 11:42
А лучше Full версию, с Web ты ещё намаешься...
Для прошивки готовых проектов в ПЛИС и конвертации этих проектов в открытые текстовые форматы сгодилась бы и 40Мб версия софта.
А 3Гб мне кажется разводом и оправданием раздутой цены, хоть full хоть web, уверен, что при желании в 40Мб зажать всю функциональность вместе с GUI можно и без особых затрат со стороны производителя, только как он потом покупателю будет объяснять свою политику ценообразования.
В новой версии 11.1sp2 https://www.altera.com/download/software/quartus-ii-we библиотеки приложены, проблема с 64-битными библиотеками имела место только для 11sp1.
Молодцы в altera, быстро сработали, осталось еще только выпустить не просто Web версию (которая загадочным образом раздута до 3Гб), но и Web Light версию, скажем 40Мб было бы вполне достаточно, а также отказаться от использования java и eclipse при разработке оболочки в пользу gtk3.
perestoronin, благодарю за информацию, выручил.
Я начал было качать 11sp2 с фирменного сайта, но скорость резко упала и решил установить то что уже було в наличии - 11 версию.
Я начал было качать 11sp2
любители бешеных гигабайтов...
Error404
20.03.2012, 09:32
Подскажите пожалуйста, я правильно понимаю, что старые ПЛИС типа EPM7064LC44 не шьются при помощи byteblaster.
А чем их прошивать?
Подскажите пожалуйста, я правильно понимаю, что старые ПЛИС типа EPM7064LC44 не шьются при помощи byteblaster.
А чем их прошивать?
Специальными параллельными программаторами, коих по паре штук на большой город.
Vladimir_S
20.03.2012, 17:15
Специальными параллельными программаторами, коих по паре штук на большой город.
А схем нет? Или слишком сложно?
---------- Post added at 16:15 ---------- Previous post was at 16:14 ----------
Да, еще и софт какой?
А схем нет? Или слишком сложно?
Не знаю, я схем в интернетах не встречал :(
Да, еще и софт какой?
МАХ+, возможно Квартус. Стандартный альтеровский софт.
Vladimir_S
20.03.2012, 17:29
Стандартный альтеровский софт.
Насколько я знаю стандартный под байтбластер, или есть выбор? Много 7032 и 7064 без буквы, а выкидывать рука не поднимается. Итак в свое время довыкидывался.
Насколько я знаю стандартный под байтбластер, или есть выбор?
Не, там список поболее :)
БайтБластер, БитБластер, Мастербластер...
Vladimir_S
20.03.2012, 19:37
БайтБластер, БитБластер, Мастербластер...
А параллельный какой? Хоть какую-то информацию. Так глядишь по крупицам и ...
Да чорд его знает. Может Master, название больно крутое, да и живьем его никто не видел :)
Vladimir_S
21.03.2012, 09:23
да и живьем его никто не видел
Но он был? Это не миф?
piroxilin
21.03.2012, 10:19
Vladimir_S, Да не миф это , это спец оборудования для стирания/пришивания залоченых микрух. Этакий аппаратный взломщик/дебагер. Есть только в спец мастерских или на заводах вообще. Это из разряда устройств для снятия защиты с пиков и т.д. Если и есть то только у официальных представителей Альтеры (может у "ЭФО" есть). У каждого наверняка есть по несколько микрух закрытых ( так у меня десятка полтора найдётся). По нему вообще любая инфа закрытая.
Но он был? Это не миф?
Нет не миф. ;)
Подскажите пожалуйста, я правильно понимаю, что старые ПЛИС типа EPM7064LC44 не шьются при помощи byteblaster.
А чем их прошивать?
Программаторы от Xeltek (http://www.xeltek.com/) шьют эти чипы.
Есть SuperPro II, но он под DOS. Плюс ему ещё и ISA нужна, состоит из двух частей: плата в слот и внешний блок. Правда переходников под эти чипы нет. :)
Device List of Superpro/Superpro II/Superpro IIP (http://www.xeltek.com/software/splegacy/sp2Device.html)
34149
Вот, кстати, отечественный представитель имеется: www.xeltek.ru (http://www.xeltek.ru/). В Челябинске обитают. :)
Vladimir_S
21.03.2012, 11:03
Ну вот и пошла информация. Лиха беда - начало. Может у кого еще что есть?
Вот, кстати, отечественный представитель имеется: www.xeltek.ru (http://www.xeltek.ru/). В Челябинске обитают. :)
А не охереют они от таких цен?
А не охереют они от таких цен?
Да..., цены весЁлые! Но и программаторы не рядовые. :)
Да на фиг они за 10...45 тысяч сдались? Лучше уж собрать собственный за пару тысяч.
Vladimir_S
21.03.2012, 16:44
Лучше уж собрать собственный за пару тысяч.
параллельный?
смотрим тему Память, программаторы, что-нибудь можно найти.
Вообще-то хватит флеймить, пора писать про ПЛИС.
Powered by vBulletin® Version 4.2.5 Copyright © 2026 vBulletin Solutions, Inc. All rights reserved. Перевод: zCarot