Вход

Просмотр полной версии : One-Chip-MSX



caro
18.08.2006, 11:32
Рекомендую почитать железячникам:
http://ru.msx.org/One-Chip-MSX-MKII.newspost3805.html
Самое интересное в этой новости то, что из этой "железки"
можно сделать и одно-чиповый Спектрум.

MSX2+ на Altera DE1 и DE0 см.: www.caro.su

CHRV
18.08.2006, 11:46
Рекомендую почитать железячникам:
http://ru.msx.org/One-Chip-MSX-MKII.newspost3805.html
Самое интересное в этой новости то, что из этой "железки"
можно сделать и одно-чиповый Спектрум.
Камиль конечно можно и ребята уже пробовали.
Но запуск такую штуки в производство это крайне недешевая вещь.
Тем более сборка и отладка...

fan
18.08.2006, 12:06
Я про сабж уже несколько раз в железе писал , но реакция не последовала прежде всего от "потребителей"... :\ (даже на дизайн минимиги практически никто не позарился).

И есть ещё один траблик - SD/MMC на данный момент спеком никак не супортится , а на MSX эта штука ещё (относительно)довно стала стандартом.

caro
18.08.2006, 12:08
Камиль конечно можно и ребята уже пробовали.
Но запуск такую штуки в производство это крайне недешевая вещь.
Тем более сборка и отладка...Это я понимаю :)
В данном случае интересно именно то, что если эта вещь
будет продаваться,
то задача будет стоять не сделать железку, а написать VHDL модель Спектрума
под уже готовый конструктив.
Мне кажется в наших условиях это наиболее рациональное решение.
Я даже пытался заказать один из подходящих Китов на основе ALTERы, но пока безрезультатно.

Подходит например этот:
http://www.altera.com/products/devkits/partners/kit-alt-live-design.html

Altium Designer LiveDesign Evaluation Kit
from Altium: http://www.altium.com/

The Altium Designer LiveDesign Evaluation Kit (US$99) comes with
a versatile low-cost, FPGA-based development board featuring
a high-capacity Alteraо CycloneTM (EP1C12F324C8) FPGA.

или этот:
Terasic TREX C1 Multimedia Development Kit - US$149
http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=39&No=14
The TREX C1 Development Kit provides a complete, high-quality, multimedia platform featuring Altera Cyclone (EP1C6Q248C8) FPGA device.
На нем кстати уже запустили AMSTRAD CPC и выложили VHDL-исходники.
http://www.symbos.de/trex.htm
http://www.symbos.de/download/cpctrex-quartussnapshot10.zip

CHRV
18.08.2006, 12:09
И есть ещё один траблик - SD/MMC на данный момент спеком никак не супортится , а на MSX эта штука ещё (относительно)довно стала стандартом.
Камилевская мультикарта поддерживает SD/MMC... ВОт токо софтом наверно никак не поддерживается.

caro
18.08.2006, 12:10
И есть ещё один траблик - SD/MMC на данный момент спеком никак не супортится , а на MSX эта штука ещё (относительно)довно стала стандартом.Была бы железная поддержка, ПО бы появилось.

caro
18.08.2006, 12:15
Камилевская мультикарта поддерживает SD/MMC... ВОт токо софтом наверно никак не поддерживается.Поддержива т SD/MMC, слишком сильно сказано.
В базовой конфигурации этого нет, да и она пока работает
от силы у нескольких человек.

Ronin
18.08.2006, 13:16
она много чего "поддерживает" кроме sd/mmc о чем тут много флеймят :) и что несомненно будет-таки реализовано.

ng_dead
18.08.2006, 14:09
Рекомендую почитать железячникам:
http://ru.msx.org/One-Chip-MSX-MKII.newspost3805.html
Самое интересное в этой новости то, что из этой "железки"
можно сделать и одно-чиповый Спектрум.
Хочу такой Спек! Желательно не дороже 300 бачей!:)

icebear
18.08.2006, 14:13
Хочу такой Спек! Желательно не дороже 300 бачей!:)

Покупаешь evaluation board за $99 (на данный момент они ещё есть). Ищешь на sf.net проект ZX-GATE и заливаешь туда. Всё. Единственно, в ZX-GATE вывод на ТВ сделан.

caro
04.11.2006, 17:00
Хочу такой Спек! Желательно не дороже 300 бачей!:)Желающие могут заказать до 21 ноября: http://www.bazix.nl/onechipmsx_preorder.html
Предварительно берут 229 Евро.

Valen
04.11.2006, 22:09
Предварительно берут 229 Евро.

Классная штука, ничего не скажеш.
Но цена запредельная для средне-статистического спектрумиста.

Кит за $99, на этом фоне, более привлекателен.

fan
05.11.2006, 00:03
Кит за $99, на этом фоне, более привлекателен.
О чём речь ?

Valen
05.11.2006, 13:56
О чём речь ?
Кит упоминал caro в одном из первых постов в ветке.
Правда кит когда-нидь снимут с производства и проблемы всё равно будут. Так что ещё нужно прикинуть, что лучше: своя плата или кит.

Lenin
06.11.2006, 00:37
icebear дай полную ссылку на сайт zx-gate а то у меня чето не грузится

caro
02.01.2007, 22:52
Выложена схема и исходники прошивки 1chipMSX:
http://www.grauw.nl/download/1chipmsx-cd.rar
Похоже это часть диска, идущего в поставке 1ChipMSX. Весит 2.3 Мбайта.
Основой компа является ALTERA CYCLONE 1 EP1C12Q240
Базовая прошивка занимает чуть больше 10 тысяч логических элементов из 12 тысяч доступных.

fan
03.01.2007, 02:32
Выложена схема и исходники прошивки 1chipMSX:
http://www.grauw.nl/download/1chipmsx-cd.rar
Похоже это часть диска, идущего в поставке 1ChipMSX. Весит 2.3 Мбайта.
Основой компа является ALTERA CYCLONE 1 EP1C12Q240
Базовая прошивка занимает чуть больше 10 тысяч логических элементов из 12 тысяч доступных.
10X!!! Я как раз это последнее врямя найти пытался :D

caro
29.04.2008, 11:24
Итак готов второй вариант адаптации прошивки 1ChipMSX
для Altera Cyclone II FPGA Starter Development Kit. (Altera DE1)
Имеющие плату и желающие опробовать могут скачать отсюда:
http://www.caro.k66.ru/files/08_04_29.zip
Набор файлов для записи на флешку и проверки работы:
http://www.caro.k66.ru/files/msxdisk.zip

Благодаря стараниям разработчика из Японии HRA!
теперь это MSX2+ с почти полноценным ядром VDP 9958.

Эта версия включает в себя последний релиз от HRA!
http://www5d.biglobe.ne.jp/~hra/note/onechipmsx/files/emsx_top_20080426_004.zip

Работа по улучшению прошивки продолжается и её ход
отражается на форуме MSX:
http://www.msx.org/forumtopicl8494.html

PS. Рекомендую загрузить POF-файл в режиме Active serial,
поскольку он содержит в себе прошивку MSX2+ обьемом
384 кб. в заархивированном виде (210 kb).
Если у вас уже загружена прошивка от предыдущей версии
OCM_DE1, то для проверки новой версии потребуется
создать специальный флеш-диск, первым файлом которого
должен быть файл с прошивкой MSX2+ (обьемом 384 kb).

fan
29.04.2008, 13:36
А где пролетала новость о 9958 ??? Чёто даже у того чела на сайте ни слова о 9958 :\

Кстати какиенить игрухи с горизонтальным скролом испытывались ?

caro
29.04.2008, 13:55
А где пролетала новость о 9958 ??? Чёто даже у того чела на сайте ни слова о 9958 :\Почитай здесь:
http://www.msx.org/OCM-PLD-Pack-2.2.newspost4681.html


Кстати какиенить игрухи с горизонтальным скролом испытывались ?Подскажи какие, я проверю, если найду.

fan
30.04.2008, 08:22
Покрайней мере Space Manbow . Но она под оба чипа спокойно супортится , только под 9938 видно как бордюр перемещается , а под 9958 должно только всё в окне двигаться .

Ща ещё пороюсь .

Добавлено через 22 минуты
SONYC (SONIC :D ) http://personales.mundivia.es/mpazos/sonyc/Sonyc%20Homepage.html есть супорт FM но я в упор не помню как настроить конфигурашку (вроде проц в турбк должен пахать).

Mkid со скролов в режиме Screen 11 (12000 or so colors) :D http://vscreen.hypercu.be/unreleased.htm

Добавлено через 23 минуты
Афигительно... Сайт сдох... Ща попробую зеркало нарыть , ибо там множество безценных вещей .

Добавлено через 38 минут
Как не странно жив первичный сайт , только он без гловной страници (вместо неё линк на померший сайт...)
http://users.skynet.be/bk263586/AbyssGames.htm
http://users.skynet.be/bk263586/Demos.htm
http://users.skynet.be/bk263586/Links.htm
http://users.skynet.be/bk263586/prophecy.htm
http://users.skynet.be/bk263586/tools.htm
http://users.skynet.be/bk263586/unreleased.htm (тут на пару софтин меньше чем на новом сайта , но Mkid присутствует)

Добавлено через 41 минуту
А вот Sync vertical scrolling как раз небыло в новой версии сайта .

caro
30.04.2008, 10:44
Покрайней мере Space Manbow . Но она под оба чипа спокойно супортится , только под 9938 видно как бордюр перемещается , а под 9958 должно только всё в окне двигаться.Space Manbow ты уже упоминал в одной из тем, я её вчера проверил на OCM_DE1, работает отлично и звук кстати реализован через OPLL.
Сравнил с тем как это работает на BlueMSX в режиме MSX2 (9938) и MSX2+ (9958) но с ходу не заметил никакой разницы.
Вечером еще раз посмотрю и сравню как там бордюры движутся.
Было бы интересно посмотреть программу, которая работает только в MSX2+.

PS. Спасибо за ссылки, скачал всё, вечером буду смотреть.

fan
30.04.2008, 12:13
Вечером еще раз посмотрю и сравню как там бордюры движутся.
Т.е. там как бы сам экран смещяется по пикселю (пикселя этак на чтыре) , а потом по новой . (типо для скрола юзается аппаратная ценровка экранана)
Оналогичным образом сделаны игры в той теме http://zx.pk.ru/showthread.php?t=5451 под MSX2 (9938) юзают центровщик экрана , а под MSX2+ (9958) аппаратный скрол .


Было бы интересно посмотреть программу, которая работает только в MSX2+.
Sonyc точно фурычит только под MSX2+ . Еще есть такое нечто Megadoom - http://ru.msx.org/downcat46p1.html
Демка Shadow of the Beast (http://users.skynet.be/bk263586/unreleased.htm) то же только под MSX2+ (жаль самому нельзя побегать).

Конечно особенно интересно узнать как Mkid бегает в Screen 11 .

caro
30.04.2008, 12:58
Вот интересный ресурс: http://dynamo.geol.msu.ru/msx/
Скачал с него "F1 Spirit 3D"
Написано, что работает только под MSX2+
Вечером опробую, сообщу результат.

fan
30.04.2008, 15:59
Да , видал такую . Похоже за счёт аппаратного скрола юзается так называемый по строчный паралакс скрол (для отображения дороги). Надо сказать очень шустро бегает , и относительно не плохо сделана .

caro
30.04.2008, 20:30
Т.е. там как бы сам экран смещяется по пикселю (пикселя этак на чтыре) , а потом по новой . (типо для скрола юзается аппаратная ценровка экранана)
Оналогичным образом сделаны игры в той теме http://zx.pk.ru/showthread.php?t=5451 под MSX2 (9938) юзают центровщик экрана , а под MSX2+ (9958) аппаратный скрол .Посмотрел внимательно, точно видна разница в реализации скролла экрана.
В режиме 9938 левый край перемещается рывками, в режиме 9958 плавно.
На новой версии прошивки OCM DE1 работает именно плавный скроллинг экрана.


Конечно особенно интересно узнать как Mkid бегает в Screen 11 .Не смог запустить, начальный тест пишет что VDP9958 обнаружен, но мал размер TPA :)

fan
01.05.2008, 10:34
А ху из TPA ? %)

Кстати F1 Spirit 3D запустился ? Дорога без глюков отрисовывается ?

dhau
26.05.2008, 09:39
TPA - помоему это память для MSX-DOS. Обычно если бут-диски ругаются на недостаток памяти - надо перегрузить придерживая CTRL - память для второго дисковода не будет резервироваться, доступен будет только A:

9958, регистр F4 и новый биос - это все отличия MSX2 от MSX2+.

fan
26.05.2008, 09:46
9958, регистр F4 и новый биос - это все отличия MSX2 от MSX2+.
Ещё новые видео режимы .

caro
26.05.2008, 09:50
А ху из TPA ? %)Transit Programm Area - зона свободной памяти для загрузки исполняемых программ.
Начинается с адреса 100H, заканчивается обычно в начале размещения BDOS (на него указывает адрес системного вызова CALL 5, тоесть ячейки 6 и 7).

Кстати F1 Spirit 3D запустился ? Дорога без глюков отрисовывается ?С ходу не запустилось, больше не пробовал.

Wowik
18.03.2009, 23:42
Вопрос: А впринципе реально выдрать из исходников реализацию MEGASD (СД карту) и прикрутить её к реальной Ямахе через слот расширения? MEGASD в виде отдельного картриджа на картинках в инете видел, но выпускается он и продаётся не понятно, так же как и другие девайсы, ощущение что их не больше 5 штук каждого а то и вообще единичные экземпляры разведеные на коленках монтажным проводом.

caro
18.03.2009, 23:58
Вопрос: А впринципе реально выдрать из исходников реализацию MEGASD (СД карту) и прикрутить её к реальной Ямахе через слот расширения?Прикрутить то реально, только кто это будет делать :)

Wowik
19.03.2009, 00:16
У меня есть желание хотябы изучить этот вопрос, сказать что вот возьму и сделаю я не могу. Нужна документация по MSX, в инете многие ссылки уже не актуальны.
И еще там эта СДшка видится просто как один большой диск или что-то более хитрое придумано? Изначально система была расчитана на Fat12, каким макаром они прикручивают к ней все остальное? (FAT16 или FAT32).

Wowik
19.03.2009, 10:40
Из того что нарыл в инете.
Если никто не знает где это достать, то будем изобретать велосипед заново.

Tim0xA
20.03.2009, 02:04
Залил в свою DE1 последний релиз MSX2+ (спасибо, caro). SymbOs смотрится просто потрясающе!
Возникли вопросы:
1. Как загрузить ROM файлы с SD-карты? Хочу запустить Vampire Killer. ROM-файл на 130кб.
2. Как загружаться с образов дисков DSK, не форматируя SD-карту?
3. Как можно использовать несколько образов дисков, переключаться между ними?

caro
20.03.2009, 06:08
Залил в свою DE1 последний релиз MSX2+ (спасибо, caro). SymbOs смотрится просто потрясающе!Меня особенно впечатлили видеоролики, которые можно просматривать под SYMBOS.
Но работать в такой среде всё таки лучше с подключенной мышкой, что я и сделал:
http://www.zx.pk.ru/showthread.php?t=9392


Возникли вопросы:
Почитай здесь:http://msxbanzai.tni.nl/computers/ese3.html
Необходимое для работы ПО: http://msxbanzai.tni.nl/computers/ese3.zip

Stas Bergich
10.01.2010, 21:19
Подскажите где сейчас можно взять релиз MSX2+ для DE1. Старые линки почему-то перестали работать

caro
11.01.2010, 15:59
Подскажите где сейчас можно взять релиз MSX2+ для DE1. Старые линки почему-то перестали работатьCсылки в первом посте.

Stas Bergich
12.01.2010, 01:12
Вчера не работали, поэтому спросил. Сегодня все ОК

bigral
26.04.2010, 13:14
Вот пытался запускать мегаромы (METAL.ROM) с врубленным SCC2 (sw6=off sw7=off), загружал MGLOAD METAL.ROM /s02 - зависает после reset-a намертво, помогает токо sw6=on sw7=on, это нормально? Также пытался пускать версию с BAS загружчиком тоже не работает.

И так много игр не работают (в некоторых после запуска на секунду появляются зеленые вертикальные полосы на весь экран, что это?) :(

caro
26.04.2010, 14:18
с врубленным SCC2 (sw6=off sw7=off)(
PDIP(7..6) - primary slot 2
11 = normal cartridge slot
10 = ESE-SCC: 1024K+SCC-I
01 = ESE-RAM: 1024K+ASCII8
00 = ESE-RAM: 1024K+ASCII16
(0 - нижнее положение переключателя.)

caro
27.04.2010, 08:54
Вот пытался запускать мегаромы (METAL.ROM) с врубленным SCC2 (sw6=off sw7=off), загружал MGLOAD METAL.ROM /s02 - зависает после reset-a намертво, помогает токо sw6=on sw7=on, это нормально? Также пытался пускать версию с BAS загружчиком тоже не работает.

И так много игр не работают (в некоторых после запуска на секунду появляются зеленые вертикальные полосы на весь экран, что это?) :(Большинство игр, в том числе и METAL GEAR, отлично запускается с помощью утилиты LOADROM

bigral
27.04.2010, 10:39
ok, видимо я многое пропустил в начале 90-х когда возился с MSX. Обьясните пожалуйста мне в кратце про эти "слоты", "картриджи" (маперы?), ромы и GM file-ы. Есть ли толковая ссылка на этот счет в инете? Пока интересны вопросы:

какие бывают ромы для MSX?
какие бывают "картриджи" (маперы?)?
как я узнаю какой картридж (мапер?) требует тот или иной ром?
в altera de1 версии используется 4Мб памяти, могут ли изза этого не работать дисковые версии рассчитанные на 256Кб мапер?

caro
27.04.2010, 11:47
Есть ли толковая ссылка на этот счет в инете?Для начала почитай: http://www.faq.msxnet.org/ultmsxfaq.html#index
По картриджам можно почитать здесь: http://bifi.msxnet.org/msxnet/tech/
Действительно, где-то в одном месте, всё что нужно для досконального понимания функционирования MSX я тоже не нашел.
Здесь на форуме сделана попытка собрать информацию по MSX: http://zx.pk.ru/showthread.php?t=11759

---------- Post added at 13:47 ---------- Previous post was at 12:58 ----------


в altera de1 версии используется 4Мб памяти, могут ли изза этого не работать дисковые версии рассчитанные на 256Кб мапер?Не знаю, я пока с этим не сталкивался, но пару раз в форуме MSX читал, что в некоторых программах это может создать проблему.

bigral
29.04.2010, 13:43
PDIP(7..6) - primary slot 2
11 = normal cartridge slot
10 = ESE-SCC: 1024K+SCC-I
01 = ESE-RAM: 1024K+ASCII8
00 = ESE-RAM: 1024K+ASCII16
(0 - нижнее положение переключателя.)

Вот прочитал про виды картриджей тут: http://bifi.msxnet.org/msxnet/tech/megaroms.html

И появились вопросы:
А что означает "11 = normal cartridge slot"? Это "Konami with SCC (a.k.a. Konami5)" или "Konami without SCC (a.k.a. Konami4)" или вообще "отсутсвие картриджа в слоте"?

Я так понимаю что "10 = ESE-SCC: 1024K+SCC-I" это "Konami with SCC (a.k.a. Konami5)" да?

Что значит DIP2? Я думал что DIP2 блокирует эмулятор картриджа а DIP7 и DIP6 выбирают тип мапера при DIP2=0

Что делает DIP8?

---------- Post added at 12:43 ---------- Previous post was at 12:31 ----------


Не знаю, я пока с этим не сталкивался, но пару раз в форуме MSX читал, что в некоторых программах это может создать проблему.

Скорее всего ситуация такая же как и на спекки с "неиспользованными битами" в порте выбора страничек. Неплохо бы сделать переключение msx2 мапера на 128/256/512/4MB двумя DIP-ами.

caro
29.04.2010, 13:48
А что означает "11 = normal cartridge slot"?Это означает что в "Слот 2" OCM можно установить реальный картридж, тоесть внутренний модуль отключен.


Я так понимаю что "10 = ESE-SCC: 1024K+SCC-I" это "Konami with SCC (a.k.a. Konami5)" да?Именно так, в этом состоянии переключателя эмулируется установка в "Слот 2" картриджа от Конами с SCC.


Что значит DIP2? Я думал что DIP2 блокирует эмулятор картриджа а DIP7 и DIP6 выбирают тип мапера при DIP2=0DIP2 управляет режимом работы "Слот 1" OCM.
В нижнем положении эмулируется установка в "Слот 1" еще одного модуля Конами с SCC.
В верхнем положении переключателя Dip2 в "Слот 1" можно установить "родной" картридж от MSX.
Dip2 и Dip(7..6) никак не связаны.


Что делает DIP8?В нижнем положении этого переключателя модуль видеопроцессора работает на максимально возможной скорости.
В нижнем положении, скорость его работы приводится в соответствие скорости работы реального видеопроцессора.
Реально турбирование составляет порядка 3:1.

---------- Post added at 15:48 ---------- Previous post was at 15:46 ----------


Скорее всего ситуация такая же как и на спекки с "неиспользованными битами" в порте выбора страничек. Неплохо бы сделать переключение msx2 мапера на 128/256/512/4MB двумя DIP-ами.Была у меня такая мысль, но мне кажется вполне достаточно двух состояний: 256Kb/4Mb

bigral
30.04.2010, 13:40
Повозился немного с запуском мегаромов на AlteraDE1 и выяснил что:

1. mgload.com грузит ромы как в слот 1 так и в слот 2 НО НЕ ИЗМЕНЯЕТ ИХ, при этом надо помнить что нужно установить правильный эмулятор картриджа:

для slot 2 (mgload.com <filename.rom> /s02):
dip7=0 dip6=1 для Konami with SCC (a.k.a. Konami5)
dip7=1 dip6=0 для ASCII 8Kb
dip7=0 dip6=0 для ASCII 16Kb

для slot 1 (mgload.com <filename.rom> /s01):
dip2=0 ТОЛЬКО Konami with SCC (a.k.a. Konami5)

конечно же этой прогой нельзя запустить Konami without SCC (a.k.a. Konami4).

2. romload.com грузит ромы только в Konami with SCC (a.k.a. Konami5) картридж, т.е. требует установок:

для slot 1: dip2=0
для slot 2: dip7=0 dip6=1

при этом на лету может патчить ромы из Konami without SCC (a.k.a. Konami4), ASCII 8Kb и ASCII 16Kb (/C,/A и /1) чтобы они работали в Konami with SCC (a.k.a. Konami5);

3. loadrom.com не требует эмуляторов картриджа (dip2=1 и dip7=1 dip6=1), грузит ромы в RAM при этом извращая их налету (это например выражается торможением в METAL.ROM).

caro
20.08.2010, 13:22
Обновленный релиз OCM на DE1 от 20.08.10
--------------------------------------------
1) Использовано новое ядро T80 с исправлениями TobiFlex
(реализованы не документированные команды Z80 с префиксом 0DDh,0CBh);
2) Загрузка ROMS на максимальной скорости не зависимо от
положения переключателя выбора частоты процессора;
3) Изменено назначение переключателей Sw(0)..Sw(9);
Ну и так по мелочи разные улучшения :)
http://www.caro.su/msx/ocm_de1.htm

PS. На днях выложу такой же релиз для Altera DE0.

karabas
21.08.2010, 22:33
загрузил файлы в свою DE1, черный экран. биос на SD-шке и во флэше, можешь более подробно описать последовательность действий?
я с FPGA только начинаю разбираться.

---------- Post added at 22:33 ---------- Previous post was at 22:15 ----------

заменил карточку с 1Gb на 128Mb и запустилось.

caro
27.08.2010, 15:11
Исправил несколько досадных промахов в прошивке от 20.08.10
http://www.caro.su/msx/ocm_de1.htm

caro
27.08.2010, 18:00
Те кто приобрел DE0 могут опробовать реализацию OneChipMSX на этой ДевБорде:
www.caro.su/msx/ocm_de0.htm
Реализовано точно также как на DE1, только однобитный PWM выведен
на 14 контакт расширительной колодки (тот что справа) устройства (на 12 контакте GND).
Пара резисторов на 470 Ом, конденсатор на 10 Нф, разьем и пара проводов,
всё что нужно, что бы появился звук.

caro
30.11.2011, 12:44
Обновленный релиз OCM на DE1 от 30.11.11
--------------------------------------------

1) Исправлена загрузка BIOS при отключенном приводе SD (Dip(2) = ON);
2) Режим работы VDP теперь определяется режимом работы процессора.
Ускоренный режим работы VDP включается только в ускоренном режиме работы процессора (Dip(7)=ON).
3) Добавлен бит 7 порта F4. Теперь начальная заставка выдается только при холодном старте системы.


Исходники и прошивки как обычно здесь:
http://caro.su/msx/ocm_de1.htm

caro
05.12.2011, 09:53
Обновленный релиз OCM на DE0 от 05.12.11
--------------------------------------------
Внесены изменения в соответствии с текущим релизом для OCM на DE1.

Исходники и прошивки как обычно здесь:
http://caro.su/msx/ocm_de0.htm

caro
08.12.2011, 11:16
Встроил в тестовую версию BIOS для OCM на DE1 и DE1 неофициальную версию заставки MSX3, разработанную итальянским любителем под ником KdL http://www.webalice.it/gnogni.
Пока выложена в виде ROM-файла, записываемого на SD-карту первым файлом после форматирования.
После проверки, встрою в BIOS, загружаемый с EPCS4.
Кроме новой заставки в этой версии по умолчанию система запускается с экраном шириной 80 символов, что очень удобно.
http://caro.su/msx/ocm_de1/msx3logo.jpg
Грузим как обычно с http://caro.su/msx/ocm_de1.htm

caro
17.12.2011, 22:43
После тестирования и небольшой коррекции выложил новый msx3bios и встроил новую заставку MSX3 в прошивку для DE0 и DE1.
Брать как обычно здесь: http://caro.su

dhau
24.12.2011, 05:19
Я извиняюсь, но зачем на новом лого чьи-то волосатые яйца?

caro
30.03.2012, 14:14
Добавил в прошивку OCM для Altera DE1 возможность загрузки магнитофонных программ
через вход LINE IN кодека (по просьбе пользователей с msx.org).
Сигнал загрузки подавать на левый канал (крайний контакт на джеке).
Скорость загрузки 2400. Комп естественно должен работать в нормальном режиме (не TURBO).
Индикатором наличия сигнала загрузки является свечение зеленого светодиода LED(7).
Брать как обычно здесь: http://www.caro.su

---------- Post added at 16:14 ---------- Previous post was at 16:12 ----------


Я извиняюсь, но зачем на новом лого чьи-то волосатые яйца?Говорят это ТРОЙКА (не лошадей :) ) на японском.

caro
01.08.2012, 09:00
Обновление прошивки для OCM на DE1 и DE0.
http://www.caro.su
Изменения:
1) Коррекция ядра T80 от TobiFlex;
2) BIOS со встроенным MSX3logo;
3) Для DE1 загрузка CAS-программ через вход INP AUDIO кодека.

caro
13.10.2012, 21:10
Обновление прошивки для OCM на DE1 и DE0.
http://www.caro.su
Изменения:
1) Введен режим работы с VGA при кадровой частоте 50 Гц;
2) Исправлен модуль PSG.

caro
31.03.2013, 21:40
Очередное обновление для OCM на DE0 и DE1.
http://caro.su
1) Исправил ошибку в модуле PSG, которая приводила к заниженному динамическому диапазону выходного сигнала этого модуля;
2) Вернул регулировку уровней сигнала по каналам PSG, SCC и OPLL;
3) Выложил тестовую версию прошивки OCM для DE1 с разделением по каналам: PSG - Левый канал; SCC+OPLL - Правый канал Audio-кодека.
Мне такой вариант понравился, желательно получить отзывы от других пользователей платы.

caro
01.04.2013, 05:51
QII 9.1 не компилит:(
Ошибка в psg:U30. Это нормально?Нет не нормально. У меня стоит 9.0 и всё нормально компилирует.
Какое сообщение выдает?

caro
01.04.2013, 08:12
Error: Actual width (8) of port "wave" on instance "psg:U30" is not compatible with the formal port width (10) declared by the instantiated entity
разная ширина waveСпасибо за сообщение. Похоже я залил старую версию модуля на сервер.
Было 8 (это ошибка) должно стать 10.
Сегодня вечером перезалью.
PS. А зачем компилируете, пользуйтесь готовыми POF и SOF. Они немного опережают исходник по содержанию.

vlad
27.07.2013, 15:06
http://zx.pk.ru/attachment.php?attachmentid=43367&stc=1&d=1380528293OneChipMSX на ReVerSE

:speccy: Ux-MSX v0.1 Rev.20130728
Процессор: Совместимый с Zilog Z80, на частоте 3.58 МГц или выше (версия с частотой до 10.74 МГц) F12 on/off
ПЗУ: 64 КБ BIOS + Extended BIOS (32 КБ)
MSX BASIC V3.0 (16 КБ)
DiskROM (16 КБ)
Kun-BASIC (16 КБ) (опционально)
Kanji ROM (знакогенератор с японскими иероглифами, опционально) F7 on/off
ОЗУ: обычно 64 КБ. Устройство управления памятью поддерживает 4 МБ адресного пространства для каждого из 4-х слотов (ОЗУ, ПЗУ, и т. п.)
Видеоконтроллер: Yamaha V9958 (также известен как MSX-Video)
Видео-ОЗУ: 128 КБ
Текстовые режимы: 80 x 24 и 32 x 24 символов
Графические режимы: 512 x 212 (16 цветов из 512) и 256 x 212
Спрайты: 32, 16 цветов, до 8 спрайтов на одной строке изображения
Аппаратное ускорение для операций копирования, заливки, рисования линий и т. п.
Регистры горизонтальной и вертикальной прокрутки
Микросхема звукогенератора: Yamaha YM2149 (PSG) 3 канала + шум
Опциональная микросхема звукогенератора: Yamaha YM2413 (OPLL) (MSX-Music) 9 каналов FM-синтезатора или 6 каналов FM-синтезатора + 5 ударных инструментов
Набор из 15 предустановленных инструментов и один пользовательский инструмент
Микросхема часов реального времени RP5C01

ROM-файл исходники и файлы для записи на SD-карточку брал здесь (http://www.caro.su/msx/ocm_de0.htm).
В SYMBOS сначала нужно выбрать видео режим - Alt + F7 512x212(4 colours). Рулить курсором ALT + стрелки, Space выбор, TAB...

shurik-ua
29.07.2013, 15:07
у меня проблема следующего характера:
у меня есть SD-карточка Transcend 2Gb,
в загрузчике IPL_ROM процедура чтения с SD-карты работает с моей картой отлично - то есть РОМы грузятся и комп стартует, но драйвер OCM для Symbos мою карту уже не видит.
Поэтому вопрос - есть ли гдето описание этого MegaSD устройства ?
Из исходников понять принцип работы чтото не получается , взять хотябы например:


init_SD ld b, 10 ;80 pulses CLK
L_7A98 ld a, (#5000) ; /CS=1 (a12=1)
djnz L_7A98 ;


почему здесь производится чтение, в то время как должна быть запись?
поэтому любое описание (порты, регистры) этой железки всячески приветствуются, хочу сделать поддержку SDHC карт.
кстати драйвер неполный - таблица релоков вообще никак не обозначена.

p.s. Владу респект, за отличный порт этого компа ))

caro
29.07.2013, 16:56
у меня проблема следующего характера:
у меня есть SD-карточка Transcend 2Gb,
в загрузчике IPL_ROM процедура чтения с SD-карты работает с моей картой отлично - то есть РОМы грузятся и комп стартует, но драйвер OCM для Symbos мою карту уже не видит.А карты меньшего обьема видятся?


Поэтому вопрос - есть ли гдето описание этого MegaSD устройства ?Внятного описания нет.


Из исходников понять принцип работы чтото не получается , взять хотябы например:


init_SD ld b, 10 ;80 pulses CLK
L_7A98 ld a, (#5000) ; /CS=1 (a12=1)
djnz L_7A98 ;

почему здесь производится чтение, в то время как должна быть запись?Потому что аппаратно в SPI режиме одновременно с чтением происходит запись данных.


поэтому любое описание (порты, регистры) этой железки всячески приветствуются, хочу сделать поддержку SDHC карт.

кстати драйвер неполный - таблица релоков вообще никак не обозначена.
Компиляция драйвера производится во встроенном ассемблере эмулятора WinApe, который и обеспечивает формирование таблицы релокации.

shurik-ua
29.07.2013, 17:32
А карты меньшего обьема видятся?

пробовал ещё на 1 гиг - та же история, но там подозрение на карточку она и в винде подглюкивает.


Потому что аппаратно в SPI режиме одновременно с чтением происходит запись данных.
т.е надо полагать что при чтении на ШД проца будет #FF и запишется соответственно это же число.

"Кто так строит?" (с) цитата из фильма "Чародеи" ))

caro
29.07.2013, 19:28
пробовал ещё на 1 гиг - та же история, но там подозрение на карточку она и в винде подглюкивает.У меня прекрасно работают и в MSDOS и в SYMBOS разные карточки обьемом от 32 Мб до 2 Гб. Я специально проверял, когда писал драйвера под SYMBOS.

Merlin2010
09.10.2013, 11:29
Графические режимы: 512 x 212 (16 цветов из 512) и 256 x 212

Режим 512 x 212 x 16 цветов - искажает изображение на экране (такое впечатление что отображаются только четные строки). В некоторых играх очень заметно.

ILoveSpeccy
20.11.2013, 23:09
Чтобы "красиво" портировать OCM на Aeon мне нужно кой чего прояснить.
Так как мне не хочется для каждой платформы делать отдельную SD-карту нужно будет кое от чего избавиться. Речь идёт вот о чём. У caro на сайте написано
ROM-файл для записи на SD-карточку (должен записываться на диск самым первым файлом)
У меня в файле конфига платформы есть отдельный пункт, который позволяет перед заливкой FPGA записывать в память данные. Тоесть OCM'у не нужно грузить ROM с карты, за него всё сделает системный контроллер.
Если ROM файл не будет найден на карте, но прошивка будет там где надо (в SDRAM), запустится ли прошивка? Или надо править чего в коде загрузчика?

---------- Post added at 20:09 ---------- Previous post was at 19:36 ----------

Извеняюсь, вопрос снят! Ответ нашел в теме "Altera DE1 - Development and Education Board в раше" (http://zx.pk.ru/showpost.php?p=108830&postcount=86)

ptero
05.02.2014, 19:00
а вот скажите, у вас в конфигурации MSX3 последней, xdbg.com запускается ?

ivagor
24.10.2014, 21:28
Адаптация (https://yadi.sk/d/fZ1dH0dScGtNo) ocm для de2-115. На основе версии (http://caro.su/msx/ocm_de1.htm) caro для de1. Как пользоваться и файлы - все у caro.

ivagor
25.10.2014, 15:49
На de1-soc, к сожалению, не заработало. Затыкается на этапе загрузки msxного roma. Пробовал 2 карточки, с обоих на de1 и de2-115 читает. SD карта подключена к de1-soc через вавешаровский адаптер, пять других ретрокомпьютерных проектов с ним работают.

caro
04.02.2015, 12:24
Запустил OCM на Altera DE0-Nano.
Минимально необходимый набор переферии собран на макетке
и содержит модули VGA, PS/2 KBD, SD Card, Sound и 1 Joystick.
Схема и прошивки здесь: http://caro.su/msx/ocm_de0n.htm

DJs3000
04.02.2015, 12:40
А где фотки которые так радуют глаз? :)

caro
08.02.2015, 08:56
А где фотки которые так радуют глаз? :)
http://caro.su/msx/ocm_de0n/de0n_mgs.jpg

MVV
08.02.2015, 11:30
caro, не могли бы вы объяснить работу следующих модулей, это очень помогло бы мне в портировании конфигурации на ReVerSE-U16 (http://zx-pk.ru/showthread.php?t=23528).
U04 : cyclone_asmiblock. Я так понимаю, модуль связан с U03 : megasd и служит для доступа к конфигурационной SPI-FLASH (EPCS64). В какое адресное пространство EPCS64 осуществляется доступ, тип доступа (запись/чтение) и для чего?
U07 : rtc. На плате ReVerSE-U16 установлена RTC (DS1338), есть ли возможность их задействовать для чтения/изменения (копирования данных о времени и дате в память модуля U07 : rtc) системного времени?
U20 : vdp. Как здесь будет правильно выполнить преобразование RGB(6:6:6) в RGB(8:8:8)?
Есть ли возможность реализовать поддержку Ethernet?

caro
08.02.2015, 11:57
U04 : cyclone_asmiblock. Я так понимаю, модуль связан с U03 : megasd и служит для доступа к конфигурационной SPI-FLASH (EPCS64). В какое адресное пространство EPCS64 осуществляется доступ, тип доступа (запись/чтение) и для чего?EPCS64 содержит файл конфигурации FPGA (SOF-файл), занимающий в ней первый блок - адреса от 0x00000 до 0xbffff.
Дополнительно в ней хранится ROM-файл обьемом 384 кбайт - это содержимое всех ПЗУ MSX2+. Адрес хранения этого блока в EPCS64 начинается с 0xC0000.
Загрузка этого блока в память компьютера обеспечивает блок IPLROM.VHD, который содержит бинарный код начального загрузчика, запускаемый при начальном старте компьютера.


U07 : rtc. На плате ReVerSE-U16 установлена RTC (DS1338), есть ли возможность их задействовать для чтения/изменения (копирования данных о времени и дате в память модуля U07 : rtc) системного времени?Можно. Давно хочу это сделать.


U20 : vdp. Как здесь будет правильно выполнить преобразование RGB(6:6:6) в RGB(8:8:8)?НЕ думаю, что это сложно. В текущей конфигурации OCM реально используются биты 4,3,2.


Есть ли возможность реализовать поддержку Ethernet?Как вариант реализовать работу через RS232.

ivagor
08.02.2015, 12:01
caro, у Вас композит или s-video на de1 цветные? Мои тв и тюнеры считают, что частота несущей далековата от стандартной

caro
08.02.2015, 12:28
caro, у Вас композит или s-video на de1 цветные? Мои тв и тюнеры считают, что частота несущей далековата от стандартнойЯ ничего не менял в модуле vencode.vhd (RGB to NTSC video encoder).
Проблема в том, что в оригинальном OCM тактирование идет от генератора с частотой 21.477 МГц.
В вариантах OCM на Альтеровских платах частота 21.43 МГц.
Отличие небольшое, но видимо сказывается на формировании NTSC сигнала.

ivagor
08.02.2015, 12:37
Проблема в том, что в оригинальном OCM тактирование идет от генератора с частотой 21.477 МГц.
В вариантах OCM на Альтеровских платах частота 21.43 МГц.
Понятно, спасибо, значит если очень захочется цвета для композита или s-video на de1 надо фазовым аккумулятором делать

MVV
08.02.2015, 12:38
Дополнительно в ней хранится ROM-файл объемом 384 кбайт
В исходниках этого файла не нашел, скорее всего это файл bios_m2p.rom (http://caro.su/msx/ocm_de1/bios_m2p.zip) и он добавляется при создании jic? Нужно ли его записывать и на SD "первым"?

Можно. Давно хочу это сделать.
Скорей всего потребуется доработка загрузчика и добавление модуля SPI для работы с RTC. Такой метод уже реализован в конфигурациях speccy (http://zx-pk.ru/showpost.php?p=729744&postcount=51) и ts-conf (http://zx-pk.ru/showpost.php?p=756043&postcount=113). Есть ли файл-исходник загрузчика для выполнения доработки и чем его собирали?

НЕ думаю, что это сложно. В текущей конфигурации OCM реально используются биты 4,3,2.
Т.е. реальным отображением цветов можно пренебречь сдвигом разрядов влево?
Поддержаны и правильно ли отображаются видео режимы: 256х212 16 цветов и 512х212 16 цветов?

Как вариант реализовать работу через RS232.
У меня на плате установлен контроллер ENC424 (http://www.microchip.com/wwwproducts/Devices.aspx?dDocName=en542414&redirects=ENC424J600), подключается по SPI с помощью всего 5-ти проводков. Можно ли реализовать его поддержку заменой драйвера и если программы работающие с реальными Ethernet чипами?

на Альтеровских платах частота 21.43 МГц.
Пробовали использовать каскадирование PLL (последовательное подключение) для генерирования требуемой частоты?

caro
08.02.2015, 12:54
В исходниках этого файла не нашел, скорее всего это файл bios_m2p.rom и он добавляется при создании jic? Нужно ли его записывать и на SD "первым"?В файл jic добавляется emsx_top.hex, который содержит bios_m2p.rom, преобразованный в HEX-формат.
Если вы загружаете в EPCS64 jic-файл, то загрузка ПЗУ с SD-карты не нужна, поэтому и записывать его на карту не надо.
Но иногда требуется проверить какие-то изменения в содержимом ROM-файлов, тогда можно записать измененный файл первым на SD-карту и тогда именно его содержимое будет загружено в память копьютера при его старте.
Этот файл надо обязательно записать на карту если вы хотите просто опробовать конфигурацию, загрузив в FPGA SOF-файл.


Скорей всего потребуется доработка загрузчика и добавление модуля SPI для работы с RTC. Такой метод уже реализован в конфигурациях ts-conf. Есть ли файл-исходник загрузчика для выполнения доработки и чем его собирали?Исходник есть. Собирается стандартным ассемблером для Z80. Я использую M80.
Выложу на сайте.


Т.е. реальным отображением цветов можно пренебречь сдвигом разрядов вправо?Думаю да.


Поддержаны и правильно ли отображаются видео режимы: 256х212 16 цветов и 512х212 16 цветов?Поддержаны. Насчет правильности не скажу, нужен какой то тест.


У меня на плате установлен контроллер ENC424, подключается по SPI с помощью всего 5-ти проводков. Можно ли реализовать его поддержку заменой драйвера и если программы работающие с реальными Ethernet чипами?Есть ObsoNet, но как туда внедрить ваш вариант не знаю.


Пробовали использовать каскадирование PLL (последовательное подключение) для генерирования требуемой частоты?Надо будет попробовать.

ivagor
08.02.2015, 13:08
После замены генерации 85 и 21 МГц на фазовый аккумулятор (сделал из 300 МГц) композит и s-video стали цветные

caro
08.02.2015, 13:10
После замены генерации 85 и 21 МГц на фазовый аккумулятор (сделал из 300 МГц) композит и s-video стали цветные
Я так не умею. Можешь показать как это сделать?

ivagor
08.02.2015, 13:19
signal acc: std_logic_vector (23 downto 0);
signal clk300: std_logic;
signal clkdivmy: std_logic_vector (1 downto 0);

...

U00 : pll4x2
port map( -- for Altera DE1
inclk0 => CLOCK_50, -- 50 MHz external
c0=>clk300,
-- c0 => clk21m, -- 21.43MHz internal (50*3/7)
-- c1 => memclk, -- 85.72MHz = 21.43MHz x 4
-- c2 => pMemClk, -- 85.72MHz external
locked => lock_n
);

process(clk300)
begin
if (clk300'event and clk300 = '1') then
acc <= acc + 4804384;
end if;
end process;
memclk <= acc(23);
pMemClk<=memclk;

process(memclk)
begin
if (memclk'event and memclk = '1') then
clkdivmy <= clkdivmy + 1;
end if;
end process;
clk21m<=clkdivmy(1);
Можно еще получше подобрать базовую частоту (вместо 300 МГц), чтобы результирующий клок был ближе к меандру, но особо это не будет заметно

caro
08.02.2015, 13:27
Можно еще получше подобрать базовую частоту (вместо 300 МГц), чтобы результирующий клок был ближе к меандру, но особо это не будет заметноСпасибо за урок.

ivagor
08.02.2015, 13:33
caro, это Вам большое спасибо за адаптацию этого проекта на de1. После покупки в прошлом году de1 sramные проекты не работали толком (я тогда не знал про особенности новой ревизии) и ocm был первым нормально работающим проектом. Еще надо бы вернуться к вопросу, почему на de1-soc не завелось, на de2-115 полет нормальный

MVV
08.02.2015, 13:53
Еще надо бы вернуться к вопросу, почему на de1-soc не завелось
У меня на rev.D завелась, помню пришлось подшаманить работу c SDRAM.
Хотел бы посоветоваться, по поводу шилда для DE1-SOC:

ivagor
08.02.2015, 14:50
Спасибо за наводку на sdram (подправил и ocm запустился на de1-soc, особо не тестировал, но на первый взгляд работает). Я до этого думал, что проблема с загрузкой romа с sd карты, даже собирался дизассемблировать загрузчик :)
По поводу шилда для de1-soc я вряд ли подходящий человек для посоветоваться. Готовую подобную платку я бы, пожалуй, купил (если не очень дорого) в рф или в китае, а что-то конструктивное по разработке я не могу сказать. Пока обхожусь платками на проводках. Это работает, но не очень удобно

ivagor
15.02.2015, 18:23
caro, планируете ли разводить и изготавливать платы под свой шилд? Я бы купил пару полностью собранных (можно без разъема под джойстик), если не очень дорого. Жаль, что звук только на воспроизведение и шим, аудиокодек или цап+ацп были бы круче

caro
15.02.2015, 18:46
caro, планируете ли разводить и изготавливать платы под свой шилд? Я бы купил пару полностью собранных (можно без разъема под джойстик), если не очень дорого.Разводить и заказывать планирую. Цена не думаю что будет большой.

Жаль, что звук только на воспроизведение и шим, аудиокодек или цап+ацп были бы кручеНадо подумать, только не хотелось бы усложнять, а значит удорожать устройство.

ivagor
15.02.2015, 19:03
Еще вопрос про ps2. Недавно подключал к gpio de1-soc ps2 клавиатуру на внешней платке. Там не предусмотрели никакой защиты, в отличие от вашего варианта. Подавал питание 3,3В вместо 5В и клавиатура работала. Потом подключал на эти пины другую штуку - пины выжили и работали. У меня дома нет осциллографа и я не знаю, какой все же был уровень сигнала с клавиатуры при питании от 3,3В. Вы пробовали такой вариант?

Еще пожелание к шилду. Было бы здорово предусмотреть сквозной разъем GPIO, типа как здесь (http://www.aliexpress.com/store/product/Learning-FPGA-development-board-FPGA-board-ADV7125-ADV7123-VGA-output-expansion-board-of-16-million-colors/827854_2028908047.html)

MVV
15.02.2015, 19:36
Недавно подключал к gpio de1-soc ps2 клавиатуру на внешней платке. Там не предусмотрели никакой защиты, в отличие от вашего варианта.
Там на GPIO уже установлены защитные диоды Schottky BAT54S.
Может кто подскажет, почему после демонстрации заставки система вываливается в белый экран?

ivagor
15.02.2015, 19:58
О какой заставке и системе речь? В смысле после букв MSX не появляется редактор бейсика?

MVV
15.02.2015, 20:17
О какой заставке и системе речь? В смысле после букв MSX не появляется редактор бейсика?
Да, после показа вот такой заставки вываливается в белый экран
http://caro.su/msx/ocm_de1/msx3logo.jpg

а должно появиться нечто похожее на это
https://encrypted-tbn3.gstatic.com/images?q=tbn:ANd9GcRbrnIgv0KT7BUtIHsHA6n61TS2DqW2d sSiNwOLOjhlI0gETt8a

ivagor
15.02.2015, 21:34
У меня после коррекции доступа к sdram нормально запускается в дисковый бейсик
Выложил (https://yadi.sk/d/xNkqojkiegz5G) sof и короткое кино с видео запуска

---------- Post added at 23:36 ---------- Previous post was at 23:36 ----------

А, ты msxdos запускаешь

---------- Post added 16.02.2015 at 00:34 ---------- Previous post was 15.02.2015 at 23:36 ----------

Попробовал запустить msxdos2 - запустился, видео положил в ту же папку

MVV
21.02.2015, 13:19
Разобрался с белым экраном после заставки, небыли подключены все разряды цветности.
Может кто знает, как доработать vdp, чтобы получить разрешение 640x480@60Hz (http://tinyvga.com/vga-timing/640x480@60Hz) c частотой вывода точек 25MHz? Т.к. тот кадр, что формировался для аналогового VGA (тут было главное выдержать общие времянки, а не четкую привязку к пиксельной частоте) не подходит для передачи по HDMI.

ivagor
17.04.2015, 14:01
MVV, можешь выложить свой sof для de1-soc? А то я дос запустил и успокоился. Игрушки пробовал на de1. Решил еще попробовать игрушки и просмотр картинок на de2-115 и soc. На 115 все ОК, на soc выявились странные приколы

ivagor
23.04.2015, 15:26
Пришлось самому разбираться. Посидел в отладчике (в эмуляторе) и нашел причину странностей. Пока хакнул грубо (с игрушками теперь все ОК), может потом сделаю более корректный хак

---------- Post added at 16:48 ---------- Previous post was at 16:28 ----------

Сделал "корректный хак". Временно выложил sof сюда (https://yadi.sk/d/SAEAW6RAgBvwP)

---------- Post added at 17:26 ---------- Previous post was at 16:48 ----------

Забыл, что "экспериментировал" с pll и маленько скрутил частоту. Выложил исправленный sof, который на ТВ показывает в цвете

SeaBirdDK
07.08.2015, 14:31
А можно ли подключить к GPIO DE1 шину для подключения картриждей?

caro
07.08.2015, 15:27
А можно ли подключить к GPIO DE1 шину для подключения картриждей?Можно, и многие картриджи корректно работают - проверено.
Схема подключения выложена у меня на сайте.

SeaBirdDK
07.08.2015, 16:19
Да, спасибо. Смотрел на сайте как раз, но не сразу заметил полную схему в pdf-ке. А случайно нет уже разведенной схемы?

caro
07.08.2015, 16:44
Да, спасибо. Смотрел на сайте как раз, но не сразу заметил полную схему в pdf-ке. А случайно нет уже разведенной схемы?Я не разводил.
Попробуй на msx.org поспрошать, но правда у иностранцев.
Там в одной из тем сообщали, что развели и запустили такую плату расширения.

SeaBirdDK
07.08.2015, 17:00
Спасибо за наводку.

finevlad
03.08.2016, 14:56
Спасибо за наводку на sdram (подправил и ocm запустился на de1-soc, особо не тестировал, но на первый взгляд работает). Я до этого думал, что проблема с загрузкой romа с sd карты, даже собирался дизассемблировать загрузчик :)
По поводу шилда для de1-soc я вряд ли подходящий человек для посоветоваться. Готовую подобную платку я бы, пожалуй, купил (если не очень дорого) в рф или в китае, а что-то конструктивное по разработке я не могу сказать. Пока обхожусь платками на проводках. Это работает, но не очень удобно

Снова гляжу на тему MSX.
Что-то не нашел исходников под DE2-115 там стоит Cyclone IV и существующие проекты просто так не работают.
Я сделал компиляцию и заменил PLL на альтеровский. Но вот только SD карточка у меня не работает :(.
Можно ли для ускорения поглядеть твой топ и проект для DE2-115?

finevlad
04.08.2016, 12:14
Платка в тему попалась на EP4CE6E22C8N
на борту память и нужные для темы разъемы
и цена весьма гуманная
http://www.aliexpress.com/item/Free-shipping-Altera-CycloneIV-FPGA-Development-board-EP4CE6E22C8N/32378625463.html

finevlad
04.08.2016, 14:15
Снова гляжу на тему MSX.
Что-то не нашел исходников под DE2-115 там стоит Cyclone IV и существующие проекты просто так не работают.
Я сделал компиляцию и заменил PLL на альтеровский. Но вот только SD карточка у меня не работает :(.
Можно ли для ускорения поглядеть твой топ и проект для DE2-115?

собрал и все заработало - память SDRAM использую наполовину :) 0..15 данные - зато минимум изменений
может есть смысл такую конфигурацию куда в репозиторий добавить чтоб потом не искать - ну и если что изменения можно туда же вносить?

finevlad
22.08.2016, 15:40
Вот еще платка в тему попалась на EP4CE6E22C8N
на борту память и нужные для темы разъемы
и цена весьма гуманная
http://www.aliexpress.com/item/FPGA-Cyclone-IV-NIOSII-Development-Board-EP4CE6E22C8N-64Mbit-SDRAM-ALTERA-USB-BLASTER/32523357648.html?

- - - Добавлено - - -


Снова гляжу на тему MSX.
Что-то не нашел исходников под DE2-115 там стоит Cyclone IV и существующие проекты просто так не работают.

DE2-115 конфигурация появилась вот тут:
https://github.com/robinsonb5/OneChipMSX

zebest
22.08.2016, 21:39
Странненький репозиторий. я отстал от жизни, и имена файлов SEG7_LUT.v и SEG7_LUT.V считаются различными?
Мои архиваторы и винда считают инАче :((( или это чисто для пользователей пингвинукса???

ivagor
23.08.2016, 05:15
Просто для коллекции перевыложил (https://yadi.sk/d/SAEAW6RAgBvwP) "старую" версию для de2-115 (ранее выкладывал здесь (http://zx-pk.ru/threads/3607-one-chip-msx.html?p=747949&viewfull=1#post747949)). Версия с zpu удобнее, но пусть и такой вариант будет. Рядом там по прежнему версия для de1-soc (выкладывал здесь (http://zx-pk.ru/threads/3607-one-chip-msx.html?p=799315&viewfull=1#post799315)).

vazman
23.08.2016, 10:56
Скачал https://github.com/robinsonb5/OneChipMSX, запустил на de2. Попадаю в мсх-бейсик. А как запустить дос?.. Прошу прощения за ламерский вопрос :)

caro
23.08.2016, 12:22
Скачал https://github.com/robinsonb5/OneChipMSX, запустил на de2. Попадаю в мсх-бейсик. А как запустить дос?DOS грузится с SD-карты, на которую как минимум надо записать файлы MSXDOS.SYS и COMMAND.COM

vazman
23.08.2016, 13:45
на карте, кажется, были msxdos2.sys и command2.com Это не то? Ось должна сама грузиться, если на sd есть соответствующие файлы?

finevlad
23.08.2016, 14:42
Странненький репозиторий. я отстал от жизни, и имена файлов SEG7_LUT.v и SEG7_LUT.V считаются различными?
Мои архиваторы и винда считают инАче :((( или это чисто для пользователей пингвинукса???

насколько я понял это неудачное обновление этого файла из под линукса
содержание одинаковое, различие только в шапке комментариев

- - - Добавлено - - -


на карте, кажется, были msxdos2.sys и command2.com Это не то? Ось должна сама грузиться, если на sd есть соответствующие файлы?
все верно
карточка должна быть в FAT16 (512K 1G у меня работают)
первым должен лежать распакованный вот этот файл
msx3bios.zip (http://caro.su/msx/ocm_de1/msx3bios.zip)
потом скопированы MSXDOS2.SYS COMMAND2.COM
вот отсюда
SYMB_OCM.ZIP (http://caro.su/msx/ocm_de1/SYMB_OCM.ZIP)

да и из бейсика можно выйти в дос по _SYSTEM

для запуска игрушек из под доса наиболее правильным наверное будет загрузчик образов ROM
EXECROM (https://sourceforge.net/projects/execrom/files/ExecROM%20binaries/1.2f/EXECROM12f.zip/download)

vazman
23.08.2016, 16:50
Спасибо. Буду после работы экспериментировать.

vazman
23.08.2016, 20:17
не получается ос запустить
После перезагрузки пишет SD init.....
SDHC not supported;
disabling SD card
OK

нажимаю enter
Trying msx3bios.sys
Loading BIOS

и загружается в бейсик. Если нажать F12 в меню опции напротив SD CARD стоит x.. Можно включить. Но как потом запустить ос без перезагрузки непонятно.
По всей видимости, ос не грузиться потому, что disabling SD card. Но почему оно так - непонятно. пробовал пару разных карт
Может - что то не так с переключателями? Как они должны стоять

zebest
23.08.2016, 20:51
у меня с десяток sd-картучек, причем одни с одними конфами хорошо работают, другие с другими, как повезет. Карту желательно поменьше, 1-2Гб. у меня сейчас на 1Гб работает. Отформатировать вчистую, иногда помогает сильно, только не-быстрым форматом) Ну и закинуть хотя бы один файл msx3bios.sys . Например.
Автор пишет, что он ДОЛЖЕН быть первым. Раньше так и делал. Сейчас он сто первым записался, и тоже работает.На флешке до него было и есть довольно много файлов.

vazman
23.08.2016, 21:55
Пробую уже карточку на 256mb format d: /fs:fat
копирую msx3bios.sys потом command2.com и msxdos2.sys
Всё то же самое. причём msx3bios.sys, видимо, читается.. Бейсик же стартует...

- - - Добавлено - - -

Может - версия для de2 не до конца функциональная....

zebest
23.08.2016, 22:00
Тогда трудно сказать У меня то DE1, dip-ы все отключены

три файла в конце, до них куча других файлов.
SD-Card галочка-птичка стоит
http://s3.micp.ru/8ImoD.jpg

finevlad
24.08.2016, 12:55
Пробую уже карточку на 256mb format d: /fs:fat
копирую msx3bios.sys потом command2.com и msxdos2.sys
Всё то же самое. причём msx3bios.sys, видимо, читается.. Бейсик же стартует...

- - - Добавлено - - -

Может - версия для de2 не до конца функциональная....

попробовал включить и выключить все переключатели - не влияет
попробуй мой вариант сборки
57975

карточки форматирую без фанатизма утилитой SDFormater 4.0 (https://www.sdcard.org/downloads/formatter_4/)

vazman
24.08.2016, 21:37
Не нравиться квартусу этот .pof. пишет cannot add target device EPCS64 to device chain when in the current programming mode.. Что то я не так делаю?

finevlad
24.08.2016, 22:07
Не нравиться квартусу этот .pof. пишет cannot add target device EPCS64 to device chain when in the current programming mode.. Что то я не так делаю?

Active Serial Programming
Add File
Start

У меня так
пользую 11.0 build 157

caro
15.01.2017, 20:21
http://caro.su/msx/ocm_de1.htm
Добавлена работа с картами памяти формата SDHC обьемом от 4 до 32 Гигабайт.
В качестве дисковой подсистемы используется Nextor DOS.
Описание системы можно посмотреть на Konamiman's MSX page http://www.konamiman.com/msx/msx-e.html

caro
16.01.2017, 20:28
http://caro.su/msx/ocm_de0.htm
Новая версия прошивки для Altera DE0

ram_scan
18.01.2017, 15:52
А сорцов то немаэ :-(

caro
18.01.2017, 16:18
А сорцов то немаэ :-(Выложу, как только версия будет проверена.
Желательно бы получить информацию о работе тестовой системы.
Пока только японцы и испанцы откликнулись, нашим похоже нужны только "сорцы" :) .

ram_scan
19.01.2017, 18:51
Так девборда стоит дороже ямахи. Поэтому ямаха и не одна даже есть а девборды нету.

А сорцы она штука такая, всегда полезная =) И от любопытства почитать и по делу заглянуть и по назначению воспользоваться.

caro
17.03.2017, 21:39
Выложена текущая на данный момент версия прошивки с исходниками.
Кроме поддержки работы с картами SDHC обьемом до 32 Гбайт и дисковой подсистемой NEXTOR,
в системе появился COM-порт, реализованный по стандарту UART 16750.
Базовый адрес порта 0x80. Для проверки работы порта на сайте выложил простую терминальную программу
с исходником, а также описание реализации IP UART 16550. Отличие 16750 от 16550 в большем обьеме FIFO
(64 против 16 байт).
Как обычно все материалы на http://caro.su

PS. Выложены исходники и прошивки для платы Altera DE0 с теми же свойствами.
COM-порт в отличии от DE1 имеет сигналы квитирования CTS и RTS,
но на плате обозначение этих выводов на разьеме перепутано имейте это ввиду при подключении.

Wierzbowsky
18.03.2017, 12:42
А разьве вышел новый Некстор?

ram_scan
18.03.2017, 12:53
Скорее всего имелось в виду что была переписана старая ончиповая реализация.

caro
18.03.2017, 13:33
А разьве вышел новый Некстор?Некстор текущий.

TomaTLAB
20.03.2017, 13:00
Так девборда стоит дороже ямахи...

А кстати, а нельзя ли подобрать что нибудь подходящее из ассортимента китайцев? Что-то на али проскакивало похожее, за 1,5...3тыр. Правда у них VGA "однобитный", придется допиливать.

caro
27.03.2017, 07:46
А кстати, а нельзя ли подобрать что нибудь подходящее из ассортимента китайцев? Что-то на али проскакивало похожее, за 1,5...3тыр. Правда у них VGA "однобитный", придется допиливать.
Вот хороший вариант с FPGA EP4C15 по цене 3122 руб.
https://ru.aliexpress.com/item/only-E15-SDRAM-altera-EP4CE15F17C8N-board-fpga-board-altera-board-altera-fpga-development-board-fpga-development/32800440716.html?spm=2114.13010308.0.0.KB4522

Или можно купить отдельно плату от предыдущего варианта с FPGA EP4C15 за 2177 руб. Но придется делать плату расширения.
https://ru.aliexpress.com/store/product/E15-Free-shipping-altera-fpga-board-altera-board-fpga-development-board-EP4CE15f17C8N-NIOS-II-board-SDRAM/620372_32780729361.html?spm=2114.12010608.0.0.ad7B TR

И еще вариант за 1834 руб. Правда FPGA немного маловат - EP4CE10 (10000 LE) и нет RAM. Но думаю можно MSX2 впихнуть, добавив чип памяти на расширительном разьеме.
https://ru.aliexpress.com/item/-/32670541876.html?spm=2114.13010308.0.0.mTlRWI

И еще эта же плата за 2177 руб с дополнительными полезными примочками:
https://ru.aliexpress.com/store/product/Free-shipping-EP4CE10E22C8N-USB-BLASTER-LCD1602-altera-fpga-board-altera-board-altera-fpga-development-board/620372_32787823133.html?spm=2114.12010610.0.0.53Hi 7Z



PS. Кстати, прошивку для Altera DE0 под OCM тоже обновил, добавив UART.

zebest
27.03.2017, 09:44
у двух полсендих не наблюдается памИтИ..

caro
27.03.2017, 10:01
у двух послендних не наблюдается памяти..Да, не обратил внимания, предполагая что при такой конфигурации на нижней стороне должен быть чип памяти. Но цена очень привлекательная, попробую заказать и приделать память на расширительный разьемчик.

OCM не влезет (FPGA EP4C6 - 6000 LE), но для других платформ вполне подходящий вариант для экспериментов, и цена 2248 руб с доставкой.
https://www.aliexpress.com/item/ALTERA-Cyclone-IV-EP4CE6-FPGA-Development-Kit-Altera-EP4CE-NIOSII-FPGA-Board-and-USB-Blaster-downloader/32792622551.html?spm=2114.01010208.3.11.PHdslN&ws_ab_test=searchweb0_0,searchweb201602_6_10065_10 068_433_434_10136_10137_10138_10060_10062_10141_10 056_10055_10054_301_10059_10531_10099_10530_10103_ 10102_10096_120_10052_10144_10053_10050_10107_1014 2_10051_10143_10526_10529_10084_10083_10080_10082_ 10081_10110_10111_10112_10113_10114_10037_10078_10 079_10077_10073_10070_10122_10123_10124,searchweb2 01603_6,afswitch_1,ppcSwitch_5,single_sort_0_defau lt&btsid=9a1122a7-6292-4379-bf31-fa4cbedff8f6&algo_expid=7ffcab9c-2eec-48bd-9a2c-b4deef443fb4-1&algo_pvid=7ffcab9c-2eec-48bd-9a2c-b4deef443fb4

zebest
27.03.2017, 11:10
но для других платформ вполне подходящий вариант для экспериментов
Ну если для еще и других - тогда вариант на не-православном Ксайлинке
https://ru.aliexpress.com/item/Free-ship-New-Xilinx-FPGA-development-kit-Xilinx-spartan-6-development-board-with-SDRAM-EEPROM-FLASH/32699731890.html?spm=2114.10010208.1000014.16.08co xX&scm=1007.13338.78123.000000000000000&pvid=b3151954-abfa-450a-948d-3e4b473fe5aa&tpp=1
или со SRAM памятью. MSX в шестой spartan XC6SLX9 точно влезает с Некстором, ну может не совсем вторая, но первая - точно. я не знаю, в чем коренное отличие между 1 и 2

TomaTLAB
27.03.2017, 21:26
Ну если для еще и других - тогда вариант на не-православном Ксайлинке (https://ru.aliexpress.com/item/Free-ship-New-Xilinx-FPGA-development-kit-Xilinx-spartan-6-development-board-with-SDRAM-EEPROM-FLASH/32699731890.html?spm=2114.10010208.1000014.16.08co xX&scm=1007.13338.78123.000000000000000&pvid=b3151954-abfa-450a-948d-3e4b473fe5aa&tpp=1)
О, а у ей и VGA человечий. Хотя, я подозреваю, с 6-го спартана и HDMI можно выгнать без проблем.

OrionExt
27.03.2017, 21:46
Выхлоп VGA хоть человеческий хоть нет, делается 10-ом резисторов;)

- - - Добавлено - - -

Клавиатуру ps/2 сложней "подключить ". Требуется питание +5В и схема согласования уровней.

Все выше сказанное относится конечно к голым платам FPGA без всяких китайских приблуд .

TomaTLAB
27.03.2017, 21:47
Когда устройство полностью вмещается на готовую девборду, с уже распаянным на ней стандартным разъемом VGA цеплять к ней еще один такой же "на проводах" или тыкать паяльником ради десятка резисторов...
Мягко говоря, - обидно!

Питание 5В там обычно имеется. А преобразователь уровня - это полевик и пара тех же резисторов (на готовых платках у китайцев их как того-самого за баней)

OrionExt
27.03.2017, 21:49
Зачем эти пассивные шилды за куеву денег?)

VGA (разное бывает - количество цветов). Если уж брать то бренд. Там на резисторах не экономят и не горят они как свечки от кривой схемы подключения. Китайцев кормить с их Циклон 3 (только эмблема перебита), а по факту 2. Мы и сами резисторы допаяем:)

TomaTLAB
27.03.2017, 22:04
Купил по 100р за пучок, вместе с какой то мелочью типа ардуино-мини, USB-UART, ENC28j60 и прочего мусора по десятку штук. Ссыпал в коробочку - есть не просят.

OrionExt
27.03.2017, 22:10
Ага, тоже купил пучок девборд циклон 2 "голых" (3 - это видимо бонус, обрадовался) сложил в коробочку. Пригодятся штука классная, а шилды и сами соберем, если конечно это не HDMI (эту тему не изучал и не делал в железе) выход:)

caro
17.04.2017, 21:21
Версия от 17 апреля 2017 года.
=========================
Добавлена работа с PS/2-mouse.
Реализована работа мышки, подключенной к порту джойстика по стандарту принятому для MSX.
Пока реализована работа мышки без колеса. По умолчанию мышка работает через порт джойстика 1.
На плате DE1 не предусмотрено подключение дополнительного PS/2 устройства, поэтому для
подключения мышки придется собрать часть схемы, приведенной на сайте.
http://caro.su/msx/ocm_de1.htm

caro
18.04.2017, 22:02
Мышка теперь работает и на версии OCM на Altera DE0.
http://caro.su/msx/ocm_de0.htm

Alfishe
11.09.2017, 06:32
Мышка теперь работает и на версии OCM на Altera DE0.
http://caro.su/msx/ocm_de0.htm

Привет, а как ты относишься к переезду проекта на De10-nano (MiSTer платформа) и появлению функционала типа Moonsound?

caro
11.09.2017, 11:04
Привет, а как ты относишься к переезду проекта на De10-nano (MiSTer платформа) и появлению функционала типа Moonsound?Отношусь хорошо к любому варианту переноса проекта на любую подходящую платформу, и расширению функционала на дополнительные устройства, разработанные для MSX.

caro
02.04.2018, 18:39
Очередной подход к OCM на базе DevBoards от Terrasic:
1) Модифицирован начальный загрузчик системы - процесс загрузки отображается на экране.
2) Кроме начального старта по включению питания и по нажатию кнопки KEY0, при котором происходит загрузка BIOS системы,
предусмотрен "теплый" старт без загрузки BIOS по кнопке KEY1 и с клавиатуры, одновременным нажатием Ctrl+Alt+DEL.
3) Попробовал реализовать режим так называемого "ScanLine" - для включения, в любом ВИДЕО режиме необходимо нажать SHIFT+PrtScr.
Всё это реализована на DE1, DE0 и DE0Nano
Смотреть как обычно на http://caro.su

http://caro.su/grafs/iplrom2c.jpg

omercury
05.04.2018, 23:22
Или можно купить отдельно плату от предыдущего варианта с FPGA EP4C15 за 2177 руб. Но придется делатьЭту купить можно.


Вот хороший вариантА вот это не стоит.
Надо дождаться, пока закончатся платы старой ревизии (зелёные) и появится комплект с обновлённой коре-бордой синего цвета.
На ней установлена 6-наносекундная SDRAM, на старой 7,5ns, которая работает максимум на 70МГц при CL-2. Продавец божится, что на новой плате SDRAM с моим тестом работает на 93,33МГц (возможно и выше, следующая интерация 133,33МГц)))

New sof only upto 93.33
Переписка оказалась не напрасной.


З.Ы.
Кстати, у него появилась ещё более вкусная коре-борда на Е22 на новой ревизии платы.
https://ru.aliexpress.com/store/product/IV-E22-fpga-Altera-FPGA/620372_32853228751.html?spm=a2g0v.12010612.0.0.b5d 47bae48bo55

З.Ы.-2
На старой ревизии на CL-3 SDRAM не запустилась вовсе, хотя на других платах с той же коркой вполне себе работает.