ну и т.с. еще один гвоздь в связи с MQM3. "Дискователи" оной чет перестарались, после конверсии из SCL в TRD в esxDOS крашиЦЦа еще в первой части. Поэтому корректность IM2 проверяем на тар-версии.
Вид для печати
ну и т.с. еще один гвоздь в связи с MQM3. "Дискователи" оной чет перестарались, после конверсии из SCL в TRD в esxDOS крашиЦЦа еще в первой части. Поэтому корректность IM2 проверяем на тар-версии.
Нашел аналогичную ошибку в TV80. Поправил, не проверял.
может это специально было сделано чтобы видеть кто у кого скопировал код )
Подниму тему. Я использую процессор 8080. При фиксации вышеприведённой ошибки в файле T8080se.vhd компилятор не находит одной из описанных входных переменных.
fifan
Квартус ошибку дает?
Надо текст посмотреть, что меняли и что было.
Error (12002): Port "STACKRQ" does not exist in macrofunction "u0"
В архиве корка t80. Квартус выдаёт ошибку в файле T8080se.vhd.
Вот кусок из T80.vhd - тут нет STACKRQ. Видимо, нужно его прописать.
Код:port(
RESET_n : in std_logic;
CLK_n : in std_logic;
CEN : in std_logic;
WAIT_n : in std_logic;
INT_n : in std_logic;
NMI_n : in std_logic;
BUSRQ_n : in std_logic;
M1_n : out std_logic;
IORQ : out std_logic;
NoRead : out std_logic;
Write : out std_logic;
RFSH_n : out std_logic;
HALT_n : out std_logic;
BUSAK_n : out std_logic;
A : out std_logic_vector(15 downto 0);
DInst : in std_logic_vector(7 downto 0);
DI : in std_logic_vector(7 downto 0);
DO : out std_logic_vector(7 downto 0);
MC : out std_logic_vector(2 downto 0);
TS : out std_logic_vector(2 downto 0);
IntCycle_n : out std_logic;
IntE : out std_logic;
Stop : out std_logic;
out0 : in std_logic := '0'; -- 0 => OUT(C),0, 1 => OUT(C),255
REG : out std_logic_vector(211 downto 0); -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A
DIRSet : in std_logic := '0';
DIR : in std_logic_vector(211 downto 0) := (others => '0') -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A
);
Я это тоже заметил. Попробую прописать по аналогии с заменённым файлом из старой корки. Прослежу зачем он нужен и из какого файла приходит.
Запутался - слишком много исправлений, не буду ничего переделывать.