User Tag List

Страница 22 из 26 ПерваяПервая ... 181920212223242526 ПоследняяПоследняя
Показано с 211 по 220 из 258

Тема: ZX Remote GamePad

  1. #211

    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    67
    Поблагодарили
    52 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Будем ли мы поддерживать KEMPSTON MOUSE ?

    По данным из ftp://ftp.worldofspectrum.org/pub/si...Schematics.png
    мышка имеет три адреса:

    X-AXIS PORT xxxxx011 xx0xxxxx (8 бит данных)
    Y-AXIS PORT xxxxx111 xx0xxxxx (8 бит данных)
    BUTTONS PORT xxxxxx10 xx0xxxxx (2 бита данных)

    Получается нужно еще одно прерывание с разными адресами. Но в Ленинграде и некоторых других компьютерах используется общий порт клавиатуры и кемпстон-джойстика через мультиплексор.

    Тогда мы не сможем сделать схему на одном МК. Нужен МК+CPLD. Давайте остановимся на связке ARMF030 + EPM3064. Тогда мы сможем разместить в ПЛИС 8 регистров по 5 бит = 40 для клавиатуры, 8+8+2=18 триггеров для КЕМПСТОН мышки и джойстика. Итого: 40 + 18 = 58 триггеров. ZEK, это реализуемо ?

    Три сигнала WAIT, NMI, RESET можно подавать с арм через диоды на Z80.
    На кейпаде придется поставить тумблер: KEMPSTON JOYSTICK / KEMPSTON MOUSE.

    Схема KEMPSTON JOYSTICK: ftp://ftp.worldofspectrum.org/pub/si...Schematics.gif

    В компьютере можно подключить мышку и джойстик к порту джойстика, отрезав от земли 3 старших разряда мультиплексора в Ленинграде.

    Или забить на мышку и оставить только джойстик.
    Последний раз редактировалось zx-kit; 24.01.2014 в 23:39.
    "L-256"

  2. #211
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #212

    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    zst, Посмотрите личку плиз.
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  4. #213

    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    67
    Поблагодарили
    52 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    У меня уже тесты прошли и все пашет - два STM32F030 +2 x nRF24L01 + джойстик NES .
    Начинаю ковырять сторону джойстика на предмет прожорливости ...
    Индикатор от телефона туда влезет ?
    "L-256"

  5. #214

    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    Индикатор от телефона туда влезет ?
    Смогу проверить только в понедельник .
    Надо попытаться повесить на один SPI и джойстик и дисплей.
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  6. #215

    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    67
    Поблагодарили
    52 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    Смогу проверить только в понедельник .
    Надо попытаться повесить на один SPI и джойстик и дисплей.
    А если взять STM32F051 ? А по размеру этот или подобный индикатор влезет в корус джойстика ? Так бы сделали джойстик + арм + индикатор + провод. И уже был бы девайс, который заменяет все типы джойстиков и клавиатуру. Минимальный вариант. Для ходилок-бродилок подойдет.

    Хотя все-таки коробочка с индикатором и разъемом под джойстик предпочтительней - легче установить индикатор, без переделки джойстика.

    Наверно надо пока отложить USB, мышки и остановиться на поддержке механического кейпада и сега - джойстика.
    Последний раз редактировалось zx-kit; 25.01.2014 в 00:12.
    "L-256"

  7. #216

    Регистрация
    29.03.2005
    Адрес
    Ярославль
    Сообщений
    1,102
    Спасибо Благодарностей отдано 
    14
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    А если взять STM32F051 ? А по размеру этот или подобный индикатор влезет в корус джойстика ? Так бы сделали джойстик + арм + индикатор + провод. И уже был бы девайс, который заменяет все типы джойстиков и клавиатуру. Минимальный вариант. Для ходилок-бродилок подойдет.
    С STM32F051 можно и не экономить на пинах.
    А вот дисплей в корпус... Если только снизу ставить .
    Дисплеи что есть :
    Парочка от 1101 , один от 1202 . - монохром
    LS020 от сименс , 1.8 TFT с SPI . - цветные
    HD44780
    Это из более менее мелких .
    Есть так же TIC33М и TIC55м но это 7 сегментные ,
    Последний раз редактировалось dosikus; 25.01.2014 в 00:17.
    ZXM-Phoenix 1024+PROF ROM+SMUC+VGA
    Profi 1024+CF+CPM+VGA
    ATARI 800XL+SIO2PC+SIO2SD
    RK86@Maximite

  8. #217
    ZEK
    Гость

    По умолчанию

    http://svn.clifford.at/libxsvf/trunk/
    сырок одного из svf плеера, можно будет армом прошивать cpld, программатор для сборки вообще не понадобиться

    ---------- Post added at 23:27 ---------- Previous post was at 23:26 ----------

    svf это тектовый формат, что выталкивать в jtag и с чем сравнивать выходные данные jtag

  9. #218

    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    67
    Поблагодарили
    52 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от dosikus Посмотреть сообщение
    С STM32F051 можно и не экономить на пинах.
    А вот дисплей в корпус... Если только снизу ставить .
    Тогда лучше в коробочку с разъемом под джойстик

    ---------- Post added at 01:37 ---------- Previous post was at 01:35 ----------

    Цитата Сообщение от ZEK Посмотреть сообщение
    http://svn.clifford.at/libxsvf/trunk/
    сырок одного из svf плеера, можно будет армом прошивать cpld, программатор для сборки вообще не понадобиться
    А по объему в EPM3064 наша схема влезет ? И какой размер svf файла будет ?
    "L-256"

  10. #219
    ZEK
    Гость

    По умолчанию

    Цитата Сообщение от zst Посмотреть сообщение
    И какой размер svf файла будет ?
    его по последовательному порту передавать, по тому же что и прошивать arm, нет смысла его в прошивке arm держать
    Цитата Сообщение от zst Посмотреть сообщение
    А по объему в EPM3064 наша схема влезет ?
    40 кнопок точно влезут, а так попробывать надо, влезет туда мыша или нет

    ---------- Post added at 01:24 ---------- Previous post was at 01:10 ----------

    С мышей 73 ячейки, так что не влазит

    ---------- Post added at 01:41 ---------- Previous post was at 01:24 ----------

    сырок влоб написан, хз может что перекрутить оно плотнее усядется
    Код:
    module KBMS(
    	input		wire [15:8]		A,
    	output	wire [5:0]		KBD,
    	
    	output	wire [7:0]		DQ,
    	input		wire				SELX,
    	input		wire				SELY,
    	input		wire				SELBT,
    	
    	input		wire [4:0]		ARMA,
    	input		wire [7:0]		ARMD,
    	input		wire				ARMWR
    );
    
    //kb
    
    reg	[4:0] ROW [0:7];
    wire	[4:0] row [0:7];
    
    generate
    genvar i;
    	for(i=0; i<8; i=i+1)
    	begin :t
    		if (i==0)
    			assign row[i] = A[i+8] ? 5'h1F : ROW[i];
    		else
    			assign row[i] = A[i+8] ? row[i-1] : row[i-1] & ROW[i];
    		
    		always @ (posedge ARMWR)
    		if (ARMA == i)
    			ROW[i] = ARMD;
    	end
    endgenerate
    
    assign KBD = row[7];
    
    //ms
    reg	[7:0]	x,y;
    reg	[1:0] bt;
    
    always @ (posedge ARMWR)
    begin
    	if (ARMA ==  9) x  = ARMD;
    	if (ARMA == 10) y  = ARMD;
    	if (ARMA == 11) bt = ARMD;
    end
    
    assign DQ = SELX  ? x  : 8'hzz;
    assign DQ = SELY  ? y  : 8'hzz;
    assign DQ =	SELBT ? bt : 8'hzz;
    
    endmodule

  11. #220

    Регистрация
    11.04.2009
    Адрес
    г. Санкт-Петербург
    Сообщений
    3,603
    Записей в дневнике
    15
    Спасибо Благодарностей отдано 
    19
    Спасибо Благодарностей получено 
    67
    Поблагодарили
    52 сообщений
    Mentioned
    1 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от ZEK Посмотреть сообщение
    его по последовательному порту передавать, по тому же что и прошивать arm, нет смысла его в прошивке arm держать

    40 кнопок точно влезут, а так попробывать надо, влезет туда мыша или нет

    ---------- Post added at 01:24 ---------- Previous post was at 01:10 ----------

    С мышей 73 ячейки, так что не влазит
    Тогда остается ARM F030 + EPM3128
    "L-256"

Страница 22 из 26 ПерваяПервая ... 181920212223242526 ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Super GamePAD
    от zx-kit в разделе Устройства ввода
    Ответов: 1
    Последнее: 02.01.2014, 10:39
  2. Unreal+PC Gamepad
    от breeze в разделе Эмуляторы
    Ответов: 5
    Последнее: 20.10.2007, 23:09

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •