
Сообщение от
zst
И какой размер svf файла будет ?
его по последовательному порту передавать, по тому же что и прошивать arm, нет смысла его в прошивке arm держать

Сообщение от
zst
А по объему в EPM3064 наша схема влезет ?
40 кнопок точно влезут, а так попробывать надо, влезет туда мыша или нет
---------- Post added at 01:24 ---------- Previous post was at 01:10 ----------
С мышей 73 ячейки, так что не влазит
---------- Post added at 01:41 ---------- Previous post was at 01:24 ----------
сырок влоб написан, хз может что перекрутить оно плотнее усядется
Код:
module KBMS(
input wire [15:8] A,
output wire [5:0] KBD,
output wire [7:0] DQ,
input wire SELX,
input wire SELY,
input wire SELBT,
input wire [4:0] ARMA,
input wire [7:0] ARMD,
input wire ARMWR
);
//kb
reg [4:0] ROW [0:7];
wire [4:0] row [0:7];
generate
genvar i;
for(i=0; i<8; i=i+1)
begin :t
if (i==0)
assign row[i] = A[i+8] ? 5'h1F : ROW[i];
else
assign row[i] = A[i+8] ? row[i-1] : row[i-1] & ROW[i];
always @ (posedge ARMWR)
if (ARMA == i)
ROW[i] = ARMD;
end
endgenerate
assign KBD = row[7];
//ms
reg [7:0] x,y;
reg [1:0] bt;
always @ (posedge ARMWR)
begin
if (ARMA == 9) x = ARMD;
if (ARMA == 10) y = ARMD;
if (ARMA == 11) bt = ARMD;
end
assign DQ = SELX ? x : 8'hzz;
assign DQ = SELY ? y : 8'hzz;
assign DQ = SELBT ? bt : 8'hzz;
endmodule