Важная информация

User Tag List

Страница 8 из 41 ПерваяПервая ... 456789101112 ... ПоследняяПоследняя
Показано с 71 по 80 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #71

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    А есть какие нибудь более точные названия для генератора и для табилизаторов, я видел какой-то стабилизатор, мелкая микросхемка, так она там несколько напряжений выдавала, как вроде 0,9В, 1,5В, 2,4В, 3,3В как вроде, если память не изменяет.
    Не, типы можно брать любые. ПЛИСа кушает немного, 1,5 вольта ей надо для питания ядра (VCCINT) и модуля PLL (VCCA_PLL1). Можно мелкий стабик подобрать. Питание 3,3 идет на периферию, питание пинов образно говоря (VCCIO).
    И там, и там хорошо подходят стабики серии 1117 в корпусе... Блин, мелкие такие, миллиметров 6х6 с двумя лапами и задней стенкой-площадкой под пайку.
    Генератор - любой, лишь бы 3.3 вольта сигнал выдавал. Можно в DIP, можно MLF, можно как хочешь, хоть ЛН1 с кварцем.
    Сигнал заводить на вход CLK0 (10 пин).
    Про подключение всех тряхомудии для программирования самого циклона и его конфПЗУ прочитай в статье про конфпзу, ссылка на нее в первом посте есть
    Там несколько резисторов понадобится. Ну и кучка конденсаторов 0.1 для питания.

    ---------- Post added at 09:09 ---------- Previous post was at 09:07 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    EPCS1SI8N, Config.device, Ind, SO8, PBfree

    Вот такая подойдёт?
    Подойдет. Можно также EPCS4, у нее объем в четыре раза больше, подойдет и для более жирных циклонов. Но она подороже.

    ---------- Post added at 09:10 ---------- Previous post was at 09:09 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    И ещё нюансик, ничего, что на конце будет буква N. EP1C3T100C8N
    Вроде как это означает PBfree, тоесть нам пофигу абсолютно. Они все сейчас с индексом N идут.

    ---------- Post added at 09:13 ---------- Previous post was at 09:10 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Коммутатор входов который я хочу замутить, вот его функциональная схема:
    В данном случае тебе схема антидребезга и не нужна вовсе...
    Без нажатия кнопы импульсы все равно не пойдут, поэтому любую помеху на входе можно достоверно считать нажатием. Но - хозяин-барин
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  2. #72

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Вот Жень глянь, будет ли такой код работать
    Будет. Можно было и попроще:
    q <= d when (rising_edge(clk));

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    А то, что-то на 1,5 В не могу найти я источника опорного напряжения
    Самые обычные стабы. Для 1,5 вольта нужно купить настраиваемый, LM1117-ADJ. К нему цепляешь два резистора (калькуляторы в интернетах) и получаешь любое напряжение на выходе.

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    И ещё нюанс, а генератор, на какую частоту должен быть или с каким диапазоном выходных частот можно применять?
    Обычно указывается максимальная частота... Не знаю, но по сути - любая. Ты все равно можешь синтезатором частот получить любую. Ну, поставь мегагерц на 10...
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  3. #73

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Вот такой пойдёт: KXО-97 20МГц
    Пойдет, конечно.

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    не знаю правильно ли подсчитал
    Уже на плате проверишь, сколько он там выдает.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #74

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А толку-то... Собирай девборду, тогда уже и можно браться за проекты посерьезней.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  5. #75

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Разъем для программатора есть?
    Разъем питания?
    Чип-конденсаторы на 0,1мкф есть? Штук 10-15.
    Резисторы, 100е...1к...10к?

    ---------- Post added at 13:22 ---------- Previous post was at 13:11 ----------

    Давай я тебе письмом отправлю чипик CPLD EPM3064ATC44? Потренируешься на ней.
    Видеогенератор, причем даже с генерацией самой картинки, которую ты видел, легко влезает туда, и еще половина свободна остается.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  6. #76

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    6). KXO-97 20.0 MHz Geyer, генератор, кварцевый. - 4 шт.
    7). 0.062Вт 0402 1 кОм, 1%, Чип резистор (SMD) - 100 шт.
    8). 0.062Вт 0402 100 Ом, 5%, Чип резистор (SMD) - 100 шт.
    ещё добавил до кучи:
    9). 0.062Вт 0402 10 кОм, 1%, Чип резистор (SMD) - 100 шт.
    хорошо, что у тебя хорошее зрение и твердая рука.
    http://katalog.we-online.de/pbs/datasheet/82551500.pdf
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  7. #76
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #77

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию



    ЛУТ + МГТФ, куда уж без него.. Зато - девбординка на EPM3064. Даже с генератором!

    ---------- Post added at 10:57 ---------- Previous post was at 09:55 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    entity anty_t is
    port(in_0 : std_logic;
    clk_1 : std_logic;
    in_c0 : std_logic);
    end entity anti_t;
    Где здесь входные и выходные пины?

    ---------- Post added at 10:59 ---------- Previous post was at 10:57 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    process (clk_1)
    begin
    if (rising_edge(clk_1)) then
    if (int_1='1') then
    bus <= bus + 1;
    end if;
    end if;
    end process;
    У тебя bus просто увеличивается и всё?
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  9. #78

    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    А можно файлик платки ?
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  10. #79

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от balu_dark Посмотреть сообщение
    А можно файлик платки ?

    Файлик я по неосторожности сделал в "типа шестом" sprint layoute. Была такая дурацкая версия. Поэтому расширение файла - .lay6
    И никак не перенести в нормальнай лай...
    Вот файлик.
    Там уже исправлены несколько ошибок, которые есть на платке на фото.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  11. #80

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Описывать проще как многоразрядные входы-выходы:
    In : in std_logic_vector(9 downto 0)
    А обращаться к ним можно так - In(3), In(7)....
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

Страница 8 из 41 ПерваяПервая ... 456789101112 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •