User Tag List

Страница 12 из 41 ПерваяПервая ... 8910111213141516 ... ПоследняяПоследняя
Показано с 111 по 120 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #111

    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    10,141
    Спасибо Благодарностей отдано 
    216
    Спасибо Благодарностей получено 
    769
    Поблагодарили
    417 сообщений
    Mentioned
    23 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот этих EP1C3T144C8N в китайском радиомагазине полно
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  2. #112

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    из магаза долго ждать, Дед Мороз рядом, тебе дружище, что "отгрузить"? пока в мешочке есть подарки?
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  3. #113

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Totem Посмотреть сообщение
    2 еще есть.
    Можно присоседиться?
    И если есть - штучка МАХ2, типа 240 или 570, я с ними еще дел не имел...
    КонфПЗУ нет свободных?
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #114

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    Можно присоседиться?
    И если есть - штучка МАХ2, типа 240 или 570, я с ними еще дел не имел...
    КонфПЗУ нет свободных?
    Думаю найдет снегурочка, в волшебной коробочке.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  5. #115

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    В личке написал.
    Интересно, а Виктор уже получил свой подарок? Неделю как отправил... Забанили его невовремя...
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  6. #116

    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    365
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    опять забанили чтоли ? ) за что

  7. #117

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Во хмелю невоздержан
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  8. #118

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Так, пока снегура ищет, в закромах флешки для
    Ewgeny7, есть возможность упаковать еще, подарочков. Дедушке Морозу потом будет не досуг, определяйтесь до 16:00 MSK, кому железяк потребно.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  9. #119

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Давайте что ли чуток продолжим практику.
    Очередное задание. Делаем ШИМ (PWM).
    Просто я параллельно с этой темой веду переписку с Миккой, там как раз эта темя затрагивалась.
    Что такое ШИМ - вроде как все железячники должны знать.
    Это способ "оформить" цифровой сигнал в подобие аналогового. Практическое применение - синтез аналогового уровня, или звука, или руление электромотором или светодиодом.
    Принцип основан на изменении скважности выходящей с генератора ШИМ частоты. Чем больше соотношение "единицы" к "нулю", тем больше аналоговый уровень на RC (LC) фильтре перед потребителем сигнала. Естесственно, частота ШИМ обычно используется достаточно высокая, чтобы эффективней сгладить пульсации и отрезать высокочастотную составляющую фильтром.
    Для светодиодиков, подключенных к ШИМ, в принципе достаточно поставить сглаживающий конденсатор параллельно лапам светика.
    Давайте придумаем и изобразим ШИМ.
    Техзадание.
    Вход - клок, 1 мегагерц.
    Выход - сигнал ШИМ, дискретность - 256 импульсов.
    Уровень заполнения пока возьмем константой от балды, например - 63.
    Т.е. мы должны получить сигнал ШИМ, где 63 кванта времени будет единица на выходе, а оставшиеся 256-63=193 - ноль.
    Когда это будет сделано, привинтите восемь кнопочек на вход альтерки, чтобы уже можно было управлять ШИМом.
    Готовый код, как обычно, будет под спойлером.

    Скрытый текст


    Код:
    library IEEE; 
    use IEEE.std_logic_1164.all; 
    use IEEE.std_logic_unsigned.all;  
    
    entity test is                     
    port(
    	clk			: in std_logic;
    	q			: out std_logic
    	);
    end test;
    	
    architecture arch of test is
    
    signal cnt		: std_logic_vector(7 downto 0);
    
    begin
    
    process(clk)
    begin
    	if (rising_edge(clk)) then
    		if (cnt=0) then 
    			q <= '1';
    		elsif (cnt=63) then
    			q <= '0';
    		end if;
    		cnt <= cnt+1;
    	end if;
    end process;
    
    end arch;
    [свернуть]


    Последний раз редактировалось Ewgeny7; 24.10.2014 в 13:14.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  10. #120

    Регистрация
    01.07.2013
    Адрес
    г. Иваново
    Сообщений
    1,029
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    2
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    скоро с вами буду учиться)

    Ewgeny7, было бы круто, если бы книжку написал какую-нибудь по этому делу

Страница 12 из 41 ПерваяПервая ... 8910111213141516 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •