User Tag List

Страница 19 из 41 ПерваяПервая ... 151617181920212223 ... ПоследняяПоследняя
Показано с 181 по 190 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #181

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Я утверждать не буду, на практике не сталкивался, но если производитель пишет, что он гарантирует стабильную работу на такой-то частоте, как вроде 200 МГц, то наверное он гарантирует это, учитывая, что максимальная заявленная частота для кристалла 333 МГц, вполне верится.
    Тема в том что чем "сложнее схема" тем ниже будет глобальная тактовая частота проекта. Проще говоря ла3 будет работать на 333 мгц, а ие5 в лучшем случае на 200 мгц. (это утрированный пример).
    другими словами: Выход Q ТМ2 идёт на схему из 10 ла3 далее на вход D другой ТМ2, при этом входы C обоих ТМ2 соединены с тактовым сигналом. так вот сигнал должен успеть пробежать от одного триггера до другого. Чем больше (сложнее схема) тем ниже рабочая частота.
    Последний раз редактировалось AlexG; 05.11.2014 в 20:44.

  2. #181
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #182

    Регистрация
    07.08.2008
    Адрес
    г. Уфа
    Сообщений
    8,391
    Спасибо Благодарностей отдано 
    763
    Спасибо Благодарностей получено 
    2,367
    Поблагодарили
    1,317 сообщений
    Mentioned
    39 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Поднимал я тут вопрос по новым версиям квартусов. Ответ можно прочитать, например, на рутракере в теме по квартус 11.1 (только в познавательных целях, я за использование легального ПО).

  4. #183

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    BGA обычно разводят на многослойной печатной плате (от 4-х слоёв) . и перечитайте предыдущее мое сообщение (отредактировал)
    По поводу лицензий. Что xilinx что altera имеют бесплатные версии ПО. вся разница в основном в "разрешённых" плисах. есть ряд и других ограничений от платной версии.
    В xilinx наоборот ушли от "штатного моделсима" к своему isim. НО это ТОРМОЗ по сравнению с моделсимим - зато "бесплатный".
    Последний раз редактировалось AlexG; 05.11.2014 в 21:11.

  5. #184

    Регистрация
    19.06.2014
    Адрес
    г. Харьков, Украина
    Сообщений
    731
    Спасибо Благодарностей отдано 
    6
    Спасибо Благодарностей получено 
    16
    Поблагодарили
    15 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Чем длиннее путь сигнала, тем меньше частота, конвеер в процессорах это самый наглядный пример того как укорачивают путь для повышения частоты.

  6. #185

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Xcell journal

    Xcell89
    А в чём собственно прикол 89 выпуска ?
    зы: онлайн тоже неплохо смотрится на сайте xilinxa.

  7. #186

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    а нет сравнительной таблички по cpld xilinx-altera? по fpga есть.
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  8. #187

    Регистрация
    25.11.2007
    Адрес
    Симферополь
    Сообщений
    2,164
    Спасибо Благодарностей отдано 
    1
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Спасибо дедушке Морозу - за нашу счастливую зрелость!
    Доехала коробочка.Я даже не открывал! Честно честно! до нового года - нини!
    Amiga 1200+Blizzard 1260 72 Mb+Mtek 68030,Compozit 128, Leningrad 2,
    Atari STE 1040,ZX Spectrum +2,Pentagon 48, Speccy2007 - 2 , ATAS 256k.
    ZX Evo 4Mb- в строю.
    Speccy2010 v1
    Специалист (пока готовлюсь к восстановлению).
    Это все мое!
    Родное!
    Все люблю на свете я! Это родина моя!

  9. #188

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Задачка для тех, кто еще учится
    Имеем ПЛИС и индикатор АЛС-318.
    Задача вывести на индикатор циферки последовательно.
    Как на фото:



    На пробел между 3 и 4 внимания не обращать, просто у меня так распаян индикатор, для ЮТ-88.

    Код, как обычно, под спойлером.
    Варианты могут быть разные, разумеется.
    У меня там вообще тупо стоит на входе 16-разрядный счетчик для деления входящей частоты 50МГц

    Скрытый текст


    Код:
    library IEEE; 
    use IEEE.std_logic_1164.all; 
    use IEEE.std_logic_unsigned.all;
    use IEEE.numeric_std.ALL;    
    
    entity leds is                   
    port(
    	CLK		: in std_logic;
    	LED_s	: out std_logic_vector(6 downto 0);
    	LED_n	: out std_logic_vector(7 downto 0)
    );
    end leds;
    
    architecture leds_arch of leds is
    
    signal counter		: std_logic_vector(15 downto 0);
    signal code			: std_logic_vector(2 downto 0);
    
    begin
    
    counter <= counter+1 when (rising_edge(CLK));
    code <= code+1 when (rising_edge(counter(15)));
    
    process(code)
    begin
    	case code is
    		when "000" => 	LED_s <= "0111111";
    						LED_n <= "01111111";
    		when "001" => 	LED_s <= "0000110";
    						LED_n <= "10111111";
    		when "010" => 	LED_s <= "1011011";
    						LED_n <= "11011111";
    		when "011" => 	LED_s <= "1001111";
    						LED_n <= "11101111";
    		when "100" => 	LED_s <= "1100110";
    						LED_n <= "11110111";
    		when "101" => 	LED_s <= "1101101";
    						LED_n <= "11111011";
    		when "110" => 	LED_s <= "1111101";
    						LED_n <= "11111101";
    		when "111" => 	LED_s <= "0000111";
    						LED_n <= "11111110";
    	end case;
    end process;		
    
    end leds_arch;
    [свернуть]
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  10. #189

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    И зачем это нужно?
    Действительно, и зачем это нужно? Бабла это не принесет, на стенку не повесишь

    ---------- Post added at 09:59 ---------- Previous post was at 09:58 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Индикация динамическая?
    Странный вопрос, если индикатор - АЛС-318, указан явно.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  11. #190

    Регистрация
    24.05.2009
    Адрес
    Москва
    Сообщений
    1,100
    Записей в дневнике
    2
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Ewgeny7, интересно во что квартус этот код переварит - в 8 8-или элементов??
    Меня порой такие задачи в тупик ставят, хочется как-то оригинально сделать а тут такой простой вариант но расточительный немеряно )))
    Сначала в голову лезут мысли вывод организовать по цепочке логики этакий хитрый дешифратор, а тут раз и пусть кактус сам как хочет разбирается )))))) мне нра !
    С уважением, Дмитрий.

Страница 19 из 41 ПерваяПервая ... 151617181920212223 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •