User Tag List

Показано с 1 по 10 из 661

Тема: Еще один Орион на ПЛИС

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #11

    Регистрация
    20.06.2005
    Адрес
    Светлогорск
    Сообщений
    885
    Спасибо Благодарностей отдано 
    9
    Спасибо Благодарностей получено 
    23
    Поблагодарили
    20 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Кое-как подключил клавиатуру, скопировав почти весь код с другого клона Ориона...
    Оно даже заработало, но не на 100% ещё - осталось только понять, куда все доп. сигналы заводить

    - - - Добавлено - - -

    Итак, запустил Монитор-3.1, который с ROM-диска стартанул ORDOS. Итого - базисный компьютер вроде готов к дальнейшим улучшениям
    Сперва - буду добивать и оптимизировать вывод видео, потом займусь портами ВВ55 - сейчас они вообще эмулируюся самым тупым кодом:
    Код:
    	process (clk)	begin
    		if (rising_edge(clk) and (ports_cs(1) = '1')) then
    			if (rd = '1') then
    				case addr(1 downto 0) is
    					when "00" =>	data <= rom_data;
    					when others =>	data <= (others => 'Z');
    				end case;
    			elsif (wr = '1') then
    				case addr(1 downto 0) is
    					when "00" =>	NULL;
    					when "01" =>	rom_addr( 7 downto 0) <= data;
    					when "10" =>	rom_addr(15 downto 8) <= data;
    					when "11" =>	NULL;
    				end case;
    			else
    				data <= (others => 'Z');
    			end if;
    		end if;
    	end process;
    Оно хоть и работает, но выглядит не очень =/
    Так же планирую сделать Z80-CadrII - она, как и видеорежимы, будет включаться переключателями (в железе - джамперы или DIP-переключатели).

    - - - Добавлено - - -

    Как я понимаю, ВГ93 до сих пор не реверснули полностью, поэтому остаётся только реальная плата...

    - - - Добавлено - - -

    Актуализировал проект на гитхабе.
    Статистика по ресурсам:

    В PIO-модуле есть что оптимизировать ещё, поскольку при синтезе там получилась просто прорва мультиплексоров.
    Структура компьютера по модулям уже обрисовывается - https://image.prntscr.com/image/lwyw...BdJ1UR6H7Q.png
    Последний раз редактировалось andreil; 02.02.2018 в 21:56.
    "Байт-48"

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмулятор ZX-Poly
    от Raydac в разделе Эмуляторы
    Ответов: 109
    Последнее: 07.12.2024, 21:42
  2. ОРИОН-2010 на ПЛИС.
    от Ewgeny7 в разделе Орион
    Ответов: 447
    Последнее: 23.11.2016, 12:27
  3. Еще один Ленинград 48
    от Ewgeny7 в разделе Ленинград
    Ответов: 0
    Последнее: 16.10.2007, 22:31
  4. Ещё один Д.Р.
    от GNTB в разделе Поздравления
    Ответов: 4
    Последнее: 19.07.2006, 01:52
  5. Ещё один подраздел
    от CityAceE в разделе Форум
    Ответов: 2
    Последнее: 22.05.2005, 11:57

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •