User Tag List

Страница 13 из 87 ПерваяПервая ... 91011121314151617 ... ПоследняяПоследняя
Показано с 121 по 130 из 863

Тема: PDP-11 на FPGA

  1. #121

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вроде почти всё, плюющее свои данные на шину данных при выданном адресе, но ещё не выданном сигнале чтения - почикал. Если только в не задействованных модулях ещё остался код.

    Но, %ц%ко, ПЗУ-уха так и бросает. Может, Signal Tap из за того же клока, что и проц - не ловит не большую задержку в выдаче сигнала чтения?..

    - - - Добавлено - - -

    Щас забабахаю ему ешё 100MHz-овый клок...

    Кстати, похоже сообразил, что не так с 0.5Hz-овым клоком. Но позже попробую

  2. #121
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #122

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Надоело трахаться с месивом из клоков. Переделал. Опорный клок используется для signal tap, он же генерирует клок для процессора - 50MHz и 0.5Hz в зависимости от режима, он же моргает светодиодом раз в секунду.

  4. #123

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Фигасе.. Даже переключение частоты на ходу заработало и плевало оно теперь на дребезг

  5. #124

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Продолжаю отлаживать клоки и связку с Signal Tap.
    На шинах опять пакостит ПЗУ - вылезают его (???) сигналы до сигнала запроса на чтение.

    - - - Добавлено - - -

    Хотя может я и зря бочку качу на ПЗУ, может это модуль UNIBUS - он у автора тааак своеобразно реализован.. Что если захочешь добавить свой контроллер - не из числа реализованных - мат будет пятиэтажный. Как только отработаю работу с памятью (как синтезированной, так и SDRAM) - будет большая разборка с UNIBUS

  6. #125

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Для копающихся в VHDL - информация к размышлению Да, в принципе банально, но вдруг кому пригодиться.

    Как уменьшить количество описываемых сигналов в компонентах и соединениях? Объединять сигналы. Пример:

    Описываем:
    Код:
    -- (Signals To the bus) physical signals driven -out- to the bus by cpu or busmaster peripherals
    
      type BusSignalsTo is
      record
    
        Addr         : std_logic_vector(21 downto 0);  -- physical address
    
        ctrlDataIn   : std_logic;                      -- if '1', this is an input cycle
    
        ctrlDataOut  : std_logic;                      -- if '1', this is an output cycle
        ctrlDataOutB : std_logic;                      -- if '1', the curr out cycle is for a byte
        DataOut      : std_logic_vector(15 downto 0);  -- data out from cpu or busmaster peripherals
    
      end record BusSignalsTo;
    
    -- (Signals From the bus) physical signals driven -in- from the bus by cpu or busmaster peripherals
    
      type BusSignalsFrom is
      record
    
        AddrMatch    : std_logic;                      -- '1' if the address is recognized
    
        DataReady    : std_logic;
        DataIn       : std_logic_vector(15 downto 0);  -- data input to cpu or busmaster peripherals
    
      end record BusSignalsFrom;
    
      type Signals is
      record
    
        sTo          : BusSignalsTo;
        sFrom        : BusSignalsFrom;
    
      end record Signals;
    Используем:
    Код:
      component unibus is
        port(
          -- bus interface
            BusOut           : out   BusSignalsTo
          ; BusIn            : in    BusSignalsFrom
    
    ...
    
      signal Slot0              : Signals;
    
    ...
      pdp11: unibus port map (
          BusOut           => Slot0.sTo
        , BusIn            => Slot0.sFrom
    Итог - по две строчки (вместо восьми) в компоненте и отображении портов компонента на сигналы. На ЛЮБОМ количестве сигналов.

    Почему две? Потому что, сцу... плохая собака - в описании типа (в нашем случае - записи) нельзя указать тип (in, out или ещё какой) поля. Только в описании сущностей - что в них входит, а что выходит. Поэтом приходится комбинировать в два типа - один - входные сигналы, другой - выходные.

    Самое главное - при правильном подходе не подсоединишь не то не туда и не забудешь подсоединить.
    Последний раз редактировалось Hunta; 16.06.2018 в 19:44.

  7. #126

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вся моя возня примерно уже с месяц как c исходниками проекта всё больше убеждает меня в том, что все проблемы с ошибкой 000000 и ей подобными - это результат, мягко говоря, неудачного решения автора работы с SDRAM. За последние два дня УЖ КАК Я ТОЛЬКО НЕ ИЗДЕВАЛСЯ над исходниками - особенно последние сутки - запускается и откликается.

    К сожалению, полностью проверить синтез (ака загрузить RSX) не могу - модуля памяти пока нет. Идёт глубокая переработка того, как отдельные модули взаимодействуют друг с другом - и результат будет - ДА ДА ДА! - больше похож не PDP, чем у автора. Не то, как работает модуль процессора - там всё достаточно неплохо - а именно взаимодействие - типа - как сигналы передаются и обрабатываются, если процессор полез в память или обратился к контроллеру DB.

    Пока достаточно простая часть - фактически - редактирование текста - ничего нового не добавляется, ничего старого не удаляется. Но текст становится проще для понимания.

  8. #127

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Почему не работает?
    Почему почему. Потому что кто-то взял и забыл включить синтез системного (177560-177566) терминала

  9. #128

    Регистрация
    05.07.2010
    Адрес
    Москва
    Сообщений
    1,058
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Удаление в знак протеста против действий MM
    Последний раз редактировалось MacBuster; 26.01.2019 в 10:54. Причина: Удаление в знак протеста против действий MM

  10. #129

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Уф... сдвинулся с мёртвой точки (читай - разобрался в очередной VHDL-ной хрени). Более менее понял, как использовать RECORD И оно не работало (см предыдущее моё сообщение) не только потому, что системный терминал отсутствовал, оно ещё не работало потому, что я не правильно делал Ещё не совсем полностью работает - но по крайне мере не встаёт как вкопанное

    - - - Добавлено - - -

    А вот очередное изменение показал, что я иду в правильно направлении - ожидаемое заработало полностью. Двигаюсь дальше

    - - - Добавлено - - -

    Круть! Заработал тот вариант, на котором я прервался. В общем, сигналы надо правильно соединять даже виртуальные

  11. #130

    Регистрация
    30.11.2015
    Адрес
    г. Самара
    Сообщений
    7,556
    Спасибо Благодарностей отдано 
    346
    Спасибо Благодарностей получено 
    720
    Поблагодарили
    602 сообщений
    Mentioned
    13 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Продолжаю объединять сигналы и редактировать исходники. Из первоначального шага (попытка правильно подключить SDRAM) пока в синтезируемом PDP нет оперативки, так что вся проверка - что оно откликается на команды подключённого ПЗУ. Пока, если делать аккуратно, всё идёт нормально - PDP включается Но редактировать ещё прилично...

Страница 13 из 87 ПерваяПервая ... 91011121314151617 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Эмуляторы PDP-11
    от form в разделе ДВК, УКНЦ
    Ответов: 151
    Последнее: 16.01.2026, 12:47
  2. PDP-11/83 -- дошли руки...
    от form в разделе ДВК, УКНЦ
    Ответов: 470
    Последнее: 27.04.2021, 15:22
  3. посьба к ГУРУ pdp-11
    от bigral в разделе ДВК, УКНЦ
    Ответов: 11
    Последнее: 13.09.2014, 23:53
  4. PDP-11 литература
    от bigral в разделе ДВК, УКНЦ
    Ответов: 2
    Последнее: 26.03.2013, 07:54

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •