User Tag List

Показано с 1 по 10 из 24

Тема: VHDL process, обьясните как работает

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #7

    Регистрация
    12.07.2006
    Адрес
    г. Киев, Украина
    Сообщений
    2,147
    Спасибо Благодарностей отдано 
    25
    Спасибо Благодарностей получено 
    95
    Поблагодарили
    82 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от IanPo Посмотреть сообщение
    Про триггер с асинхронным сбросом:
    https://www.kit-e.ru/articles/circuit/2009_1_96.php
    Такая конструкция вполне себе синтезируема.
    ОПА! вот это да... что verilog каким-то образом поддерживает процесс С ДВУМЯ КЛОКАМИ???
    Код:
    module async_resetFFstyle2 (rst_n, clk, asyncrst_n);
      output rst_n;
      input clk, asyncrst_n;
      reg rst_n, rff1;
    
      always @(posedge clk or negedge asyncrst_n)
      if (!asyncrst_n) {rst_n,rff1} <= 2'b0;
      else {rst_n,rff1} <= {rff1,1'b1};
    endmodule
    это ж по идее не соответствует приведенному vhdl эквиваленту:
    Код:
    library ieee;
    use ieee.std_logic_1164.all;
    entity asyncresetFFstyle is
    port (
    	clk : in std_logic;
    	asyncrst_n : in std_logic;
    	rst_n : out std_logic);
    end asyncresetFFstyle;
    architecture rtl of asyncresetFFstyle is
    signal rff1 : std_logic;
    begin
    	process (clk, asyncrst_n)
    	begin
    		if (asyncrst_n = '0') then
    			rff1 <= '0';
    			rst_n <= '0';
    		elsif (clk'event and clk = '1') then
    			rff1 <= '1';
    			rst_n <= rff1;
    		end if;
    	end process;
    end rtl;
    - - - Добавлено - - -

    Цитата Сообщение от svofski Посмотреть сообщение
    Посмотрите на реверснутые процессоры от Vslav, там как раз это видно. Поскольку это реальное железо, комбинаторика и регистры четко разделены. Люди так редко пишут.
    а можно сюда скинуть кусок текста оттуда, ато тяжело мне понять как "люди редко пишут" и почему...

    - - - Добавлено - - -

    Цитата Сообщение от svofski Посмотреть сообщение
    Это защелка, асинхронный элемент и как правило большой ататат в fpga.
    У меня как бы дизайн под CPLD altera epm3064. Но интересно само это утверждение, которое для меня концептуально значит что ВСЕГДА надо как минимум 1 pin тратить на INPUT для какого-то CLOCK-a... что правда чтоли? неужели вообще не будет работать "по старинке" как оно на 556рт1 например работает (без clock-a вообще)
    Последний раз редактировалось bigral; 01.10.2019 в 18:20.

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Как работает графика?
    от SaintTurnip в разделе Корвет
    Ответов: 2
    Последнее: 23.02.2018, 09:00
  2. Ответов: 8
    Последнее: 19.10.2017, 15:08
  3. Как работает /RESET?
    от Gutten в разделе Несортированное железо
    Ответов: 5
    Последнее: 28.03.2014, 11:58
  4. как работает огибающая?
    от jerri в разделе Музыка
    Ответов: 6
    Последнее: 28.02.2014, 00:59
  5. Как в VHDL смешать бипер с PWM (AY и Covox)?
    от ILoveSpeccy в разделе Звук
    Ответов: 14
    Последнее: 21.04.2009, 18:03

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •