User Tag List

Страница 2 из 2 ПерваяПервая 12
Показано с 11 по 20 из 20

Тема: T80 и команда IM2

  1. #11

    Регистрация
    11.01.2008
    Адрес
    Ладошкино
    Сообщений
    1,811
    Записей в дневнике
    4
    Спасибо Благодарностей отдано 
    386
    Спасибо Благодарностей получено 
    339
    Поблагодарили
    244 сообщений
    Mentioned
    14 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ну и т.с. еще один гвоздь в связи с MQM3. "Дискователи" оной чет перестарались, после конверсии из SCL в TRD в esxDOS крашиЦЦа еще в первой части. Поэтому корректность IM2 проверяем на тар-версии.
    Последний раз редактировалось zebest; 10.08.2020 в 15:30.
    Profi v3.2 -=- Speccy2010,r2

  2. #12

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Нашел аналогичную ошибку в TV80. Поправил, не проверял.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  3. #13

    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    365
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    может это специально было сделано чтобы видеть кто у кого скопировал код )

  4. #14

    Регистрация
    13.02.2016
    Адрес
    г. Королёв
    Сообщений
    493
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    12
    Поблагодарили
    11 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от shurik-ua Посмотреть сообщение
    кто у кого
    Автор Tv80 этого никогда, как бы, и не скрывал.

  5. #15

    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,436
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    190
    Поблагодарили
    121 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Подниму тему. Я использую процессор 8080. При фиксации вышеприведённой ошибки в файле T8080se.vhd компилятор не находит одной из описанных входных переменных.

  6. #16

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    fifan
    Квартус ошибку дает?
    Надо текст посмотреть, что меняли и что было.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  7. #16
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #17

    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,436
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    190
    Поблагодарили
    121 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Error (12002): Port "STACKRQ" does not exist in macrofunction "u0"
    В архиве корка t80. Квартус выдаёт ошибку в файле T8080se.vhd.

  9. #18

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот кусок из T80.vhd - тут нет STACKRQ. Видимо, нужно его прописать.
    Код:
    	port(
    		RESET_n    : in  std_logic;
    		CLK_n      : in  std_logic;
    		CEN        : in  std_logic;
    		WAIT_n     : in  std_logic;
    		INT_n      : in  std_logic;
    		NMI_n      : in  std_logic;
    		BUSRQ_n    : in  std_logic;
    		M1_n       : out std_logic;
    		IORQ       : out std_logic;
    		NoRead     : out std_logic;
    		Write      : out std_logic;
    		RFSH_n     : out std_logic;
    		HALT_n     : out std_logic;
    		BUSAK_n    : out std_logic;
    		A          : out std_logic_vector(15 downto 0);
    		DInst      : in  std_logic_vector(7 downto 0);
    		DI         : in  std_logic_vector(7 downto 0);
    		DO         : out std_logic_vector(7 downto 0);
    		MC         : out std_logic_vector(2 downto 0);
    		TS         : out std_logic_vector(2 downto 0);
    		IntCycle_n : out std_logic;
    		IntE       : out std_logic;
    		Stop       : out std_logic;
    		out0       : in  std_logic := '0';  -- 0 => OUT(C),0, 1 => OUT(C),255
    		REG        : out std_logic_vector(211 downto 0); -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A
    
    		DIRSet     : in  std_logic := '0';
    		DIR        : in  std_logic_vector(211 downto 0) := (others => '0') -- IFF2, IFF1, IM, IY, HL', DE', BC', IX, HL, DE, BC, PC, SP, R, I, F', A', F, A
    	);
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  10. #19

    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,436
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    190
    Поблагодарили
    121 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Я это тоже заметил. Попробую прописать по аналогии с заменённым файлом из старой корки. Прослежу зачем он нужен и из какого файла приходит.

  11. #20

    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,436
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    190
    Поблагодарили
    121 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Запутался - слишком много исправлений, не буду ничего переделывать.

Страница 2 из 2 ПерваяПервая 12

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ВГ93 (WD1793) и команда step in
    от SAM style в разделе Внешние накопители
    Ответов: 7
    Последнее: 05.03.2022, 14:10
  2. T80 и DIVMMM, я в тупике :(
    от ILoveSpeccy в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 7
    Последнее: 22.03.2014, 09:52
  3. Как работает команда LDIR ?
    от Spinoza в разделе Программирование
    Ответов: 27
    Последнее: 08.05.2013, 14:54
  4. Команда Outland corp
    от nyuk в разделе Люди
    Ответов: 12
    Последнее: 10.11.2006, 10:16
  5. команда BIT n,(HL)
    от boo_boo в разделе Эмуляторы
    Ответов: 173
    Последнее: 24.05.2006, 20:59

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •