Топ модуль

Код:
set_location_assignment PIN_AB30 -to FB_Switches[0]
set_location_assignment PIN_Y27  -to FB_Switches[1]
set_location_assignment PIN_AB28 -to FB_Switches[2]
set_location_assignment PIN_AC30 -to FB_Switches[3]
set_location_assignment PIN_W25  -to FB_Switches[4]
set_location_assignment PIN_V25  -to FB_Switches[5]
set_location_assignment PIN_AC28 -to FB_Switches[6]
set_location_assignment PIN_AD30 -to FB_Switches[7]
set_location_assignment PIN_AC29 -to FB_Switches[8]
set_location_assignment PIN_AA30 -to FB_Switches[9]
Код:
  constant sw_SlowClockMode    : integer := 2;
...
  signal s_SwitchesDeBouncedClocked : std_logic_vector(9 downto 0);
...
  signal   s_SlowClockMode    : std_logic := s_SwitchesDeBouncedClocked(sw_SlowClockMode);
...
  s_Clk_Cpu                  <= s_Clk_100MHz when (s_SlowClockMode = Low) and (s_ManualClockOn = Low) else
                                s_Clk_1Hz;
s_SwitchesDeBouncedClocked - сигналы с подавленным дребезгом, снимаются с FB_Switches - 10 движковых переключателей на плате