С любовью к вам, Yandex.Direct
Размещение рекламы на форуме способствует его дальнейшему развитию
Сорь не ответил.
Таки у чипа есть "верх" и "низ" - это то, как он сориентирован по отношению к лид фрейму и выводам пекеджа:
В случае з80 - слева вверху находится CLK (который между прочим в старых даташитах Active High), затем по часовой идут пады A15, A14, и т.д.
Такой же layout сохраняется и для CMOS версий сабжа.
Где то когда то что то слышал что: клок можно оставлять в неизменном состоянии только в одном логическом состоянии. Примерно так: клок==0 то все состояния в проце в зафиксированном состоянии, а при ==1 состояние изменяется и не может находится в устойчивом состоянии. Как то вот так - малость мутно мысль моя...
Затворные емкости в любом случае разрядятся со временем, ибо существует ток утечки затворной емкости в нашем неидеальном миреИ для чипов использующих динамические латчи в доках обычно прописывается минимально возможная частота клока, при которой динамические латчи работают без амнезии
. Также возможны варианты построения ДФФ на паре SLATCH + DLATCH, в этом случае статический латч обычно идет первым в цепочке и сохраняет свое состояние при отсуствии клока очень продолжительное время, а следующий динамический латч повторяет входные данные с первого слатча.
Решил все же ознакомиться немного с Verilog'ом.
Попробовал найти этот бесплатный популярный ModelSim. Оказывается, его нет в свободном доступе.
Нашел на торрентах какой-то из последних (хотя это 2018 год) modelsim-win64-10.6d-se, посмотрю, что это. Если это вообще то.
Попробуй Icarus Verilog.
https://bleyer.org/icarus/
Оказалось, сходу так не войдешь в мир Verilog и ModelSim) Сразу то тут непонятно, то сям)
Понятно, что можно проштудировать кучу док, и постепенно во все вьехать, но не хочется тратить на старте много времени.
Несколько таких быстрых вопросов по ModelSim:
Скрытый текст
Сделал прям простейший тест счетчика
Код:module counter ( input wire clk, output reg [7:0]data ); always @ (posedge clk) data <= data + 8'h01; initial data = 8'h55; endmoduleКод:`timescale 1ms/1ms module testbench; reg clk; wire [7:0] data; counter TESTCTR(clk, data); always #10 clk = ~clk; initial begin clk = 0; end initial $monitor("clk=%b, data=%b", clk, data); endmodule
1. Как заставить ModelSim запоминать расположение окон? Каждый раз при запуске приходится расставлять размеры и позиции вручную.
2. Почему работает только меню 'Simulation without optimization'? При запуске через 'Simulatioin' или 'Simulation with full Optimization' руается:
''# ** Fatal: Internal Error - vopt returned success but vsim could not find a design to simulate!. Please contact customer support for further assistance.
# Error loading design'
3. Есть ли горячие клавиши на 'Run -Next' и прочие Run'ы?
4. Что означает 'Run 100'?
5. Почему при переносе сигналов из окна Objects в окно Wave у них появляются длинные имена типа testbench/clk? Мне нужны короткие - clk.
[свернуть]
Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)