User Tag List

Показано с 1 по 10 из 1910

Тема: ПЛИС и всё что с ними связано

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #11

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Для затравки выкладываю пример:
    двунаправленный порт FF (условно, конечно), проц его читает и туда пишет;
    Если для записи и чтения порты разные, но номер одинаковый, то в процессах пишем в один сигнал, читаем из другого (его нужно добавить).

    Код:
    -- ПЛИС <-> Z80 чтение-запись порта, например, FF
    
    port (
    signal iAddrZXbus  : in std_logic_vector (15 downto 0);
    signal ioDataZXbus  : inout std_logic_vector (7 downto 0);
    signal iIorqZXbus  : in std_logic;
    signal iRdZXbus    : in std_logic;
    signal iWrZXbus    : in std_logic;
    signal iM1ZXbus    : in std_logic; -- добавить, чтобы не срабатывал при подтв.прерывания
    signal iResetZXbus : in std_logic;
    signal iFZXBus     : in std_logic ) -- тактовый 14 МГц
    
    signal sIoWr       : std_logic;
    signal sIoRd       : std_logic;
    
    signal A1,A2 : std_logic;
    
    signal sFF         : std_logic_vector (7 downto 0);
    
    sIoWr <= iIorqZXbus or iWrZXbus;
    sIoRd <= iIorqZXbus or iRdZXbus;
    
    process (iFZXbus)
    begin
        if iReset = '0' then
        	ioDataZXbus <= (others => 'Z');
        elsif rising_edge(iFZXbus) then
        	A1 <= sIoWr;
        	A2 <= not A1;
    		if sIoRd = '0' and iAddrZXbus = x"00FF" then
    			ioDataZXbus <= sFF;
    		else
        		        ioDataZXbus <= (others => 'Z');
    		end if;
    		if (A1 or A2) = '0' and iAddrZXbus = x"00FF" then
    			sFF <= ioDataZXbus;
    		end if;
        end if;
    end;
    Последний раз редактировалось IanPo; 27.08.2010 в 11:38.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 3 (пользователей: 0 , гостей: 3)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4575
    Последнее: 17.11.2025, 11:38
  2. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 489
    Последнее: 19.09.2025, 18:39
  3. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 846
    Последнее: 02.05.2025, 08:36
  4. Ответов: 1215
    Последнее: 10.02.2025, 19:04
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •