User Tag List

Страница 48 из 191 ПерваяПервая ... 444546474849505152 ... ПоследняяПоследняя
Показано с 471 по 480 из 1910

Тема: ПЛИС и всё что с ними связано

  1. #471

    Регистрация
    14.11.2007
    Адрес
    Красноярск
    Сообщений
    377
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    6
    Поблагодарили
    6 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Обычные есть - но я тут разрывался перед выбором - поставить 32...64k SRAM, либо 8k, но при этом с часами, и добавить остальную память потом...
    Просто сейчас переделывать макет поздно - чтобы вынуть оттуда SRAM придется разбирать полмакетки
    Pentagon 1024 SL 2.2 + NemoIDE + ZXMC
    Amiga HD600 + 2Mb Chip + 4Mb Fast + Kick3.1 (hardware) + S-Video + CF 512Mb + LAN
    Yamaha YIS503IIIR + 1Mb RAM and Mouse by Caro + Yamaha FD-051 + HxC Floppy Emul + Sunrise IDE
    Macintosh LCII + 2Gb HDD + FPU + 10Mb RAM
    Grape iMac G3/333 + 40Gb HDD + 256Mb RAM
    Glacier GBA, GBA SP + EZFlash IV
    Commodore 64 + 1541-II + XU1541
    Atari 65XE + SD2SIO
    Электроника МК-85

  2. #472

    Регистрация
    15.11.2007
    Адрес
    Харьков
    Сообщений
    873
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Как в VHDL описать Open Drain выход? Ниже два варианта кода который я пробовал, оба раза Квартус 7.2 ставит TRIstate Auto Open-Drain Pins = On

    Код:
    ...
    port(
    MOSI    : out std_logic;
    ...
    signal MOSI_INT    : std_logic; --Для варианта 2
    ...
    --Вариант 1
    MOSI <= '0' when SHIFT_REG(7) = '0' and SPI_OUT_EN_SYNC = '1' else 'Z';
    --Вариант 2
    MOSI_INT <= '0' when SHIFT_REG(7) = '0' and SPI_OUT_EN_SYNC = '1' else '1';
    MOSI <= '0' when MOSI_INT = '0' else 'Z';
    ...

  3. #473

    Регистрация
    28.03.2006
    Адрес
    Санкт-Петербург
    Сообщений
    2,777
    Спасибо Благодарностей отдано 
    556
    Спасибо Благодарностей получено 
    200
    Поблагодарили
    138 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Keeper Посмотреть сообщение
    Как в VHDL описать Open Drain выход? Ниже два варианта кода который я пробовал, оба раза Квартус 7.2 ставит TRIstate Auto Open-Drain Pins = On

    Код:
    ...
    port(
    MOSI    : out std_logic;
    ...
    signal MOSI_INT    : std_logic; --Для варианта 2
    ...
    --Вариант 1
    MOSI <= '0' when SHIFT_REG(7) = '0' and SPI_OUT_EN_SYNC = '1' else 'Z';
    --Вариант 2
    MOSI_INT <= '0' when SHIFT_REG(7) = '0' and SPI_OUT_EN_SYNC = '1' else '1';
    MOSI <= '0' when MOSI_INT = '0' else 'Z';
    ...
    Попробуй примитив OPNDRN (.in (MOSI_INT), .out (MOSI)).

  4. #474

    Регистрация
    15.11.2007
    Адрес
    Харьков
    Сообщений
    873
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от tnt23 Посмотреть сообщение
    Попробуй примитив OPNDRN (.in (MOSI_INT), .out (MOSI)).
    OPNDRN (.in (MOSI_INT), .out (MOSI)); ругается на синтаксис.
    OPENDRN((MOSI_INT), (MOSI)); В таком виде пишет used but not declared. В какой библиотеке его искать?

  5. #475

    Регистрация
    28.03.2006
    Адрес
    Санкт-Петербург
    Сообщений
    2,777
    Спасибо Благодарностей отдано 
    556
    Спасибо Благодарностей получено 
    200
    Поблагодарили
    138 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Код:
    LIBRARY altera;
    
    USE altera.altera_primitives_components.all;
    http://quartushelp.altera.com/9.1/me...ile_opndrn.htm

  6. #476
    ZEK
    Гость

    По умолчанию

    Я как бы не в этой теме ссылку давал уже

    http://www.altera.com/support/kdb/so...s=Open%20Drain

    Есть еще 3 метод, через спецательные комментарии, но где и как оно работает в VHDL это надо искать

  7. #477

    Регистрация
    15.11.2007
    Адрес
    Харьков
    Сообщений
    873
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Cчастие

    LIBRARY altera;
    USE altera.altera_primitives_components.all;
    ...
    ul: OPNDRN PORT MAP ( a_in => MOSI_INT, a_out => MOSI);
    ...

  8. #478

    Регистрация
    28.03.2006
    Адрес
    Санкт-Петербург
    Сообщений
    2,777
    Спасибо Благодарностей отдано 
    556
    Спасибо Благодарностей получено 
    200
    Поблагодарили
    138 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Может, и мне кто подскажет как правильней на Verilog HDL описать двунаправленную шину так, чтобы на выход она работала тоже как open drain?

  9. #479

    Регистрация
    15.11.2007
    Адрес
    Харьков
    Сообщений
    873
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от tnt23 Посмотреть сообщение
    Может, и мне кто подскажет
    Это VHDL, но смысл думаю будет понятен...

    Код:
    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_unsigned.all;
    LIBRARY altera;
    USE altera.altera_primitives_components.all;
    
    entity top is
    port(
    --BIDIR
    D       : inout std_logic_vector(7 downto 0) := "ZZZZZZZZ"; --Data Bus
    --INPUTS
    nRD		: in std_logic; --CPU signals
    nWR		: in std_logic
    );
    end;
    
    architecture rtl of top is
    
    signal DATA_REG: std_logic_vector(7 downto 0) := "00000000";
    signal DB: std_logic_vector(7 downto 0);
    
    begin
    
    		process(nWR)
    		begin
    			if nWR'event and nWR = '0' then
    				DATA_REG <= D;
    			end if;
    		end process;
    		
    		DB <= DATA_REG when nRD = '0' else "11111111";
    		ul1: OPNDRN PORT MAP ( a_in => DB(0), a_out => D(0));
    		ul2: OPNDRN PORT MAP ( a_in => DB(1), a_out => D(1));
    		ul3: OPNDRN PORT MAP ( a_in => DB(2), a_out => D(2));
    		ul4: OPNDRN PORT MAP ( a_in => DB(3), a_out => D(3));
    		ul5: OPNDRN PORT MAP ( a_in => DB(4), a_out => D(4));
    		ul6: OPNDRN PORT MAP ( a_in => DB(5), a_out => D(5));
    		ul7: OPNDRN PORT MAP ( a_in => DB(6), a_out => D(6));
    		ul8: OPNDRN PORT MAP ( a_in => DB(7), a_out => D(7));
    		
    end rtl;
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	bidir-opndrn.jpg 
Просмотров:	309 
Размер:	53.7 Кб 
ID:	17687  
    Последний раз редактировалось Keeper; 11.05.2010 в 23:19.

  10. #480
    ZEK
    Гость

    По умолчанию

    Тож в циклоне проверил, банальное

    module TEST(
    input wire DI,
    output wire DQ,
    inout wire DB,
    input wire DIR
    );

    OPNDRN u1(DIR ? DI : 1'b1, DB);
    assign DQ = DB;

    endmodule
    делает все правильно
    Последний раз редактировалось ZEK; 11.05.2010 в 23:26.

Страница 48 из 191 ПерваяПервая ... 444546474849505152 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4575
    Последнее: 17.11.2025, 11:38
  2. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 489
    Последнее: 19.09.2025, 18:39
  3. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 846
    Последнее: 02.05.2025, 08:36
  4. Ответов: 1215
    Последнее: 10.02.2025, 19:04
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •