User Tag List

Страница 60 из 191 ПерваяПервая ... 565758596061626364 ... ПоследняяПоследняя
Показано с 591 по 600 из 1910

Тема: ПЛИС и всё что с ними связано

  1. #591

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    aniSKY, извини, а сколько строк у тебя по вертикали выходит?
    Да и кадровый "толщиной" в одну строку как-то смущает...
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  2. #592

    Регистрация
    07.12.2006
    Адрес
    Минск
    Сообщений
    221
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    aniSKY, извини, а сколько строк у тебя по вертикали выходит?
    Да и кадровый "толщиной" в одну строку как-то смущает...
    по вертикали 264(или 528 если весь счетчик брать, я беру только начиная с с 1го разряда)
    кадровый - если брать все разряды то получится две строки, просто 0й разряд не беру в расчет.
    опишу в общем весь проект - смесь схемного ввода с кусками на ВХДЛ, пытался на чистом ВХДЛ, но начинаю путаться, мне схемный немного ближе, визуально более понятен, да и та часть что сдвигает пиксели (сдвиговый регистр пикселей) так и не поддается мне....
    на всякий пожарный мой проект по частям:
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	videocard.jpg 
Просмотров:	345 
Размер:	85.1 Кб 
ID:	20072  
    Вложения Вложения
    • Тип файла: rar hsgen.rar (568 байт, Просмотров: 195)
    • Тип файла: rar vsgen.rar (546 байт, Просмотров: 191)
    • Тип файла: rar videocard.rar (116.3 Кб, Просмотров: 203)
    Последний раз редактировалось aniSKY; 22.08.2010 в 23:25.
    БЭМЗ ПЭВМ БАЙТ 48к
    CAHTAKA.002 48k
    БПК "Элара-Д" 48к +BDI(TR-DOS 5.03)
    SPECCY.2007 48k(2008.09)

  3. #593

    Регистрация
    07.12.2006
    Адрес
    Минск
    Сообщений
    221
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    может кто поможет в написании мультиплексора, коммутирующего двунаправленную шину к двунаправленной или к шине на вывод по сигналу выбора какимнить маленьким примером? а возможно ли такое?
    з.ы. хочется подрубить свою поделку к компутеру, а в двунаправленности шин в ВХДЛ все никак не разберусь....ток сильно не пинайте, на конкретных примерах както проще разобраться.
    БЭМЗ ПЭВМ БАЙТ 48к
    CAHTAKA.002 48k
    БПК "Элара-Д" 48к +BDI(TR-DOS 5.03)
    SPECCY.2007 48k(2008.09)

  4. #594

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Для затравки выкладываю пример:
    двунаправленный порт FF (условно, конечно), проц его читает и туда пишет;
    Если для записи и чтения порты разные, но номер одинаковый, то в процессах пишем в один сигнал, читаем из другого (его нужно добавить).

    Код:
    -- ПЛИС <-> Z80 чтение-запись порта, например, FF
    
    port (
    signal iAddrZXbus  : in std_logic_vector (15 downto 0);
    signal ioDataZXbus  : inout std_logic_vector (7 downto 0);
    signal iIorqZXbus  : in std_logic;
    signal iRdZXbus    : in std_logic;
    signal iWrZXbus    : in std_logic;
    signal iM1ZXbus    : in std_logic; -- добавить, чтобы не срабатывал при подтв.прерывания
    signal iResetZXbus : in std_logic;
    signal iFZXBus     : in std_logic ) -- тактовый 14 МГц
    
    signal sIoWr       : std_logic;
    signal sIoRd       : std_logic;
    
    signal A1,A2 : std_logic;
    
    signal sFF         : std_logic_vector (7 downto 0);
    
    sIoWr <= iIorqZXbus or iWrZXbus;
    sIoRd <= iIorqZXbus or iRdZXbus;
    
    process (iFZXbus)
    begin
        if iReset = '0' then
        	ioDataZXbus <= (others => 'Z');
        elsif rising_edge(iFZXbus) then
        	A1 <= sIoWr;
        	A2 <= not A1;
    		if sIoRd = '0' and iAddrZXbus = x"00FF" then
    			ioDataZXbus <= sFF;
    		else
        		        ioDataZXbus <= (others => 'Z');
    		end if;
    		if (A1 or A2) = '0' and iAddrZXbus = x"00FF" then
    			sFF <= ioDataZXbus;
    		end if;
        end if;
    end;
    Последний раз редактировалось IanPo; 27.08.2010 в 11:38.
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  5. #595

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    aniSKY, то есть, все понятно? Могу прокомментировать.
    Код я не проверял, но, по идее, должен работать.
    Нужно еще сделать проверку на /IORQ и M1,
    чтобы не реагировало на подтверждение прерывания
    (это надо в доке на проц уточнить).
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  6. #596

    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,436
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    190
    Поблагодарили
    121 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    aniSKY, что-то я не понял у тебя в блоках hsgen.vhd и vsgen.vhd отсутствует приращение горизонтальных и вертикальных счётчиков. Забыл? Еще одна ошибка. На выходе элемента И (inst20) всегда будет 0. Это в формировании сигнала PINF.

  7. #597

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    fifan, не, счетчики строк/пикселей в графическом блоке. В текстовиках только селекторы синхры.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  8. #598

    Регистрация
    30.05.2007
    Адрес
    г. Лянтор, Сургутского р-на, ХМАО
    Сообщений
    4,436
    Спасибо Благодарностей отдано 
    163
    Спасибо Благодарностей получено 
    190
    Поблагодарили
    121 сообщений
    Mentioned
    5 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    счетчики строк/пикселей в графическом блоке. В текстовиках только селекторы синхры.
    Понял, не сразу разглядел.

  9. #599

    Регистрация
    07.12.2006
    Адрес
    Минск
    Сообщений
    221
    Спасибо Благодарностей отдано 
    5
    Спасибо Благодарностей получено 
    1
    Поблагодарили
    1 сообщение
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от fifan Посмотреть сообщение
    На выходе элемента И (inst20) всегда будет 0. Это в формировании сигнала PINF.
    эт пока счетчик на ФЛЭШ не добавлен, позже допишу, как более менее заработает буду подчищать, по возможности в ВХДЛ переписывать

    ---------- Post added at 20:36 ---------- Previous post was at 20:33 ----------

    Цитата Сообщение от IanPo Посмотреть сообщение
    aniSKY, то есть, все понятно? Могу прокомментировать.
    Код я не проверял, но, по идее, должен работать.
    Нужно еще сделать проверку на /IORQ и M1,
    чтобы не реагировало на подтверждение прерывания
    (это надо в доке на проц уточнить).
    на 100% еще наверно нет, просто как пример использовании двунаправленных портов/сигналов/шин (или как там правильнее) немного прояснил, а мысли пошли думать дальше....

    ---------- Post added at 20:42 ---------- Previous post was at 20:36 ----------

    если можно в краце пояснить данную конструкцию:
    if iReset = '0' then
    ioDataZXbus <= (others => 'Z');
    elsif rising_edge(iFZXbus) then
    ... далее по примеру
    ioDataZXbus <= (others => 'Z'); -- как это описывается словами? (правильно наверно (others) ?)
    БЭМЗ ПЭВМ БАЙТ 48к
    CAHTAKA.002 48k
    БПК "Элара-Д" 48к +BDI(TR-DOS 5.03)
    SPECCY.2007 48k(2008.09)

  10. #600

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от aniSKY Посмотреть сообщение
    ioDataZXbus <= (others => 'Z'); -- как это описывается словами? (правильно наверно (others) ?)
    Здесь можно немножко упростить для понимания:
    ioDataZXbus <="ZZZZZZZZ";
    Так лучше? Просто в первой записи мы не указываем разрядность.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

Страница 60 из 191 ПерваяПервая ... 565758596061626364 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4575
    Последнее: 17.11.2025, 11:38
  2. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 489
    Последнее: 19.09.2025, 18:39
  3. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 846
    Последнее: 02.05.2025, 08:36
  4. Ответов: 1215
    Последнее: 10.02.2025, 19:04
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •