User Tag List

Показано с 71 по 80 из 1910

Тема: ПЛИС и всё что с ними связано

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #11

    Регистрация
    07.10.2008
    Адрес
    Воронеж
    Сообщений
    41
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    4
    Поблагодарили
    2 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от ewgeny7 Посмотреть сообщение
    Очень не нравится мне код в районе регистра версий (process H), слишком громоздко.
    В процеесе Н конфликт,это тот случай когда компилятор пропускает,а симулятор предупреждает :"трата-та ..."db3" holds its previous value in every path with no new value assignment, which may create a combinational loop in the current design."
    Можно так ,и упростить чуть:
    1 вариант,в пор. упрощения:
    H: process(csm,a2,a13,a15,rd)
    begin
    if (csm='1') and (a15='0') and (a13='0') and (rd='0') then
    case a2 is --оператор ВЫБОРА!
    when '0' => db3 <='0';
    db5 <='1';
    db6 <='0';
    db7 <='0';
    when '1' => db3 <='0';
    db5 <='0';
    db6 <='0';
    db7 <='0';
    end case;
    else db3 <='Z'; --Иначе относится к условию IF
    db5 <='Z';
    db6 <='Z';
    db7 <='Z';
    end if;
    end process;
    2 вар.:
    H: process(csm,a2,a13,a15,rd,a2)
    variable sel:std_logic_vector(4 downto 0);
    begin
    sel:=csm & a15 & a13 & rd & a2 ;
    case sel is --оператор ВЫБОРА!
    when "10000" => db3 <='0';
    db5 <='1';
    db6 <='0';
    db7 <='0';
    when "10001" => db3 <='0';
    db5 <='0';
    db6 <='0';
    db7 <='0';
    when others => db3 <='Z';
    db5 <='Z';
    db6 <='Z';
    db7 <='Z';
    end case;
    end process;
    и это не предел!вар.2 можно свести к 10 строкам,если сигналы DB организовать как вектор.Проще потом манипулировать!db4 переименовать в db3,а db3>db4.и создать db: inout std_logic_vector(7 downto 4); Четыре строки сразу становятся одной!

    Добавлено через 11 минут
    Цитата Сообщение от Sayman Посмотреть сообщение
    у меня вылетело два "внимания"
    На такого типа warnung симулятора пока не обращай внимание,это не критические!!!Вот что он написал,дословно:Предупреждение: Найденны 10 узлов в путях тактового генератора, которые могут действовать как рябь и/или пропущенные такты т.е.возможно,а может и нет(это он предупреждает,но симулюцию делает)!

    как буфер (а), приводящий к расфазировке тактовых сигнало

    а вот такого типа:
    Warning: VHDL Process Statement warning at SMUC.vhd(52): signal or variable "db3" may not be assigned a new value in every possible path through the Process Statement. Signal or

    variable "db3" holds its previous value in every path with no new value assignment, which may create a combinational loop in the current design.
    это плохо!

    Добавлено через 12 минут
    Цитата Сообщение от Sayman Посмотреть сообщение
    Quartus II (6.0) подойдёт для дел всех этих?
    Пойдет!

    Добавлено через 13 минут
    Я вообще юзаю 5-ю версию!А картинки тока в полной версии,в web RTL вьювера нет!!!
    Последний раз редактировалось alost; 30.01.2009 в 15:12. Причина: Добавлено сообщение

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 2 (пользователей: 0 , гостей: 2)

Похожие темы

  1. ДВК (и всё, что с ними связано)
    от Grand в разделе ДВК, УКНЦ
    Ответов: 4575
    Последнее: 17.11.2025, 11:38
  2. PAL/GAL и все что с ними связано.
    от Mick в разделе Клоны на ПЛИС, МК и БМК
    Ответов: 489
    Последнее: 19.09.2025, 18:39
  3. SMUC на дискретах и ПЛИС
    от spensor в разделе Scorpion
    Ответов: 846
    Последнее: 02.05.2025, 08:36
  4. Ответов: 1215
    Последнее: 10.02.2025, 19:04
  5. Вопрос по ПЛИС
    от Zloy в разделе Несортированное железо
    Ответов: 23
    Последнее: 17.10.2015, 17:12

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •