PDA

Просмотр полной версии : ReVerSE-U16



Страницы : 1 2 [3] 4

creator
07.05.2016, 18:33
sergio78, ты же плату купил, так собирай и рассказывай. ;)

WolfSpirit77
08.05.2016, 15:17
Сколько уже времени прошу помочь мне с запуском ZET на моей плате, а в ответ тишина.

Надіслано від мого Lenovo P780, використовуючи Tapatalk

Копейкин
08.05.2016, 19:33
У меня zet запустился, вот только sd карту никакую не видит и не грузится.
3 варианта 2 Гб карт уже пробовал, какие смог найти. А меньше нет :(

Anykey
08.05.2016, 20:03
Для какой версии платы лежат исходники на Гитхабе?

Собрал плату Rev С, но ни одна конфигурация нормально
не запустилась.

Обнаружил что некоторые пины циклона в Pin Asigments не соответствуют
тем, что на схеме, в основном для микросхемы памяти.

После того, как приводил их в соответствие со схемой
конфиги стали запускаться.

ZET запустился до момента загрузки, дальше видно что-то
на карту надо было положить, разбиратся не стал.

Дмитрий2012
08.05.2016, 20:39
Собрал плату Rev С, но ни одна конфигурация нормально
не запустилась.
Аналогично, собрав плату ревизии С, обнаружил, что запускаются и работают только конфигурации: TS-conf, NES (изображение на моем телевизоре дергается,двоится, пользоваться невозможно), atari800, rk86, test. Больше ни одна из конфигураций, которые лежат на Гитхабе у меня не идут. Сначала думал что-то с платой, а теперь смотрю не у меня одного похожие проблемы.



ZET запустился до момента загрузки, дальше видно что-то
на карту надо было положить, разбиратся не стал.
Если Вы сами правили конфигурацию Zet и другие для платы ревизии С, можно попросить выложить jic файлы?

ПС: для Zet насколько помню, надо было прогой Win32DiskImager заливать образ с софтом, который пробегал, толи здесь, толи на форуме ts-labs на SD карту. На плате ревизии А, я Zet запускал, все работало.

Anykey
08.05.2016, 21:46
Если Вы сами правили конфигурацию Zet и другие для платы ревизии С, можно попросить выложить jic файлы?

Некоторые конфигурации я просто правил пины и не создавая jic заливал их в плату для проверки (в основном те, где не надо ромы записывать в EEPROM).
Вот тут, те что правил (https://yadi.sk/d/DKkw5mJprZqSf)

Микросхемы у меня такие: EP4CE22E22I7N, 48LC16M16A2

Пока не поправил были такие глюки:
Изображения нет, только видно что на экран выводилось как будто чтото из другой страницы ОЗУ,
При касании ножек памяти пальцем на изображении менялись квадратики.


Сначала запускался только U16-Test, поэтому цель была проверить память, да еще загрузчик
для Vinculum позже спаял, поэтому правил только пины памяти, в основном старшие адреса и
смотрел что на экране получится. Как прошил vinculum проверял только Speccy и TSconf.

Дмитрий2012
08.05.2016, 22:23
Некоторые конфигурации я просто правил пины и не создавая jic заливал их в плату для проверки (в основном те, где не надо ромы записывать в EEPROM).
Вот тут, те что правил
Вот спасибо!!! Все конфигурации запустились, кроме ZET и файла output.jic. Теперь я немного успокоился, скорее всего моя платка рабочая, надо всего лишь править под нее конфигурации:)

У меня такие микрухи: EP4CE22E22I7N, МТ48LC32M16A2

удалил ссылку на образ диска С для конфигурации Zet. Все есть на Гитхабе.

Anykey
08.05.2016, 22:46
Вот спасибо!!! Все конфигурации запустились, кроме ZET и файла output.jic.

Похоже Zet не из той папки скинул,

попробуй вот этот (https://yadi.sk/d/aKdJSeSnrZtwb)

Дмитрий2012
08.05.2016, 23:08
Ага, запустилась:) Только, чтобы клавиатура заработала нужно перепрошить VNC2.

https://youtu.be/Ndlvo34AIeg

sergio78
11.05.2016, 21:38
ergio78, ты же плату купил, так собирай и рассказывай.
Пока не могу. Дело весьма затратное, резисторы панельки и разъемы я с материнок насдувал конечно, но вот микросхемки заказывать сейчас возможности нет, как и программатор для них тоже. Да и отладка всё ещё идёт, много косяков вылазиет у собирающих. Подожду когда основная масса купивших плату до конца доделает, и нормальная программная конфигурация отладится уже может вдруг.

WolfSpirit77
12.05.2016, 22:58
Похоже Zet не из той папки скинул,

попробуй вот этот (https://yadi.sk/d/aKdJSeSnrZtwb)

Спасибо большое за конфигурацию!!! :) Наконец то и у меня ZET заработал.

Anykey
13.05.2016, 00:10
Мне то за что? Я только пины поправил.

WolfSpirit77
13.05.2016, 19:18
Мне то за что? Я только пины поправил.

За то и спасибо!

andykarpov
14.05.2016, 14:04
Хотелось бы поинтересоваться у MVV, есть ли в планах как-то универсализировать прошивку VNC2 и свести все к одному знаменателю? Каждый раз перешивать контроллер VNC2 при смене конфигурации- то еще развлечение, особенно если VNC2debug модуля нет :)

Также есть ряд вопросов по поддерживаемому железу:

1) Я так понимаю, что конфигурация u16_tsconf (и остальные спектрум-подобные конфигурации) использует прошивку VNC2 для поддержки USB HID девайса в виде клавиатуры + верхний USB выход может использоваться как USB Serial ?

2) Конфигурация u16_zet использует нижний USB для клавиатуры, а верхний - для USB мышки, при этом мышь каким-то хитрым образом транслируется в FPGA как PS/2 устройство ?

3) Планируется ли сделать поддержку USB-геймпадов ? Хотя бы каких-нибудь самых распространенных (тут, я так понимаю, нужно привязываться к VID/PID конкретного девайса) ?

4) Есть ли где почитать, с какой стороны подойти к разработке прошивки для VNC2 ? Есть ли кросс-платформенные тулзы / компиляторы ?

alsp
14.05.2016, 15:21
Планируется ли сделать поддержку USB-геймпадов
Есть прототип такой прошивки - у меня в дереве atari800.
Оно там заточено на конкретный HID джойстик (http://defender.ru/catalog/gamepads/game-master-g2-usb-13-buttons), но работает.
проблема в том что надо написать парсер HID report, чтобы правильно назначать кнопки в любых джойстиках, но руки пока не дошли.

- - - Добавлено - - -


4) Есть ли где почитать, с какой стороны подойти к разработке прошивки для VNC2 ? Есть ли кросс-платформенные тулзы / компиляторы ?
все тут (http://www.ftdichip.com/Products/ICs/VNC2.htm)

point777
14.05.2016, 21:44
Заграница тем временем не дремлет: http://zxuno.speccy.org/index_e.shtml
как-то все действительно остановилось...

WolfSpirit77
15.05.2016, 16:56
Подскажите пожалуйста как можна образ ZET увеличить до полного объема MicroSD 2Gb?

AndyD
15.05.2016, 22:25
Winimage очень удобная штука.

WolfSpirit77
16.05.2016, 18:30
Winimage очень удобная штука.

Спасибо. Я уже разобрался. :)

vazman
16.05.2016, 19:09
Залился VNC2 через USB-Serial. Если кому надо, делал так:
Софт - FT_Prog http://www.ftdichip.com/Support/Util...g_v2.8.2.0.zip
USB-Serial - китайский Unibox для мобильников (номера пинов ниже для него, типовая распиновка USB-Serial-TTL коробок с RJ45 разъёмом).

Паяем 5 проводов:
unibox --- VNC2 на плате
8 (GND) - GND
2 (TxD) - 24
3 (RxD) - 23
4 (RTS) - 26
5 (CTS) - 25

Замыкаем на JTAG разъёме:
7-10 (USB_PROG#-GND)
8-4 (USB_RESET#-3V3)


Прошу прощения.. А таким макаром через com порт можно прошить vnc2?

И вообще - прошить можно только или VNC2 debug или так???

AndyD
16.05.2016, 21:09
Я прошил через PL2303,тот же конвертер усб-ком, вообще проще и правильней шить через VNC2 debug ,тем более ,что на разъем все выведено,а через ком ,надо припаивать к пинам VNC сопельки (проводочки) для прошивки.

vazman
16.05.2016, 21:30
Жаль - сразу я не сообразил.. debug ждать придётся месяц из поднебесной.. В Москве не нашёл в продаже..

andykarpov
16.05.2016, 21:43
Я тоже шил через serial, припаивал проводочки к VNC2. не очень удобно.

alexsan
22.05.2016, 20:36
ReVerSE-U9 подскажите подключаб к монитору но мониторчик не видит плату что делать? монитор старый flatron l1730s

Ewgeny7
23.05.2016, 10:09
но мониторчик не видит плату что делать?
Заливаю утюг в крокодила, но лыжи не летают :)
Какой конфиг зашит в u9?

solegstar
23.05.2016, 10:51
Какой конфиг зашит в u9?
у меня самсунг 943N ругается на не правильную кадровую и через некоторое время вылетает в черный экран. возился с конфой спека. пришлось сделать конфиг с 60гц. у меня правда EP3С5, могу для него подкинуть .jic файл, но если надо, можно поправить времянки в исходнике самому, или заменить файл/значения в файле video.vhd. будет две машинки - спек 60гц и пентагон 48гц.
https://www.dropbox.com/s/4sc39i64g9yx2ct/u9_speccy_60hz.png?dl=0&raw=1
в правой панели времянки для 50гц, в левой - для 60гц.
PS: наверное это сообщение, как и предыдущие касательно U9, лучше перенести в другую тему по реверси, хотя...

andykarpov
29.05.2016, 12:00
Ради эксперимента сделал Makefile (https://github.com/andykarpov/ReVerSE-U16/blob/master/u16_tsconf/syn/Makefile) (под linux) для сборки проекта u16_tsconf (https://github.com/andykarpov/ReVerSE-U16/tree/master/u16_tsconf/) под разные камни и ревизии из консоли.
Достаточно указать ревизию платы (revA или revC) и камушек, и можно делать make, потом make jic и make program.
Также прикручен модуль hdmi-audio (https://github.com/fintros/hdmi-audio/) от fintros.

- - - Добавлено - - -


Жаль - сразу я не сообразил.. debug ждать придётся месяц из поднебесной.. В Москве не нашёл в продаже..

Я покупал чистую платку у zorel на барахолке (http://market.zx-pk.ru/forum/viewtopic.php?f=7&t=445), самому собрать v2debug модуль на порядок дешевле, чем покупать готовый.

vazman
29.05.2016, 17:37
Пришёл vnc debugger. Вроде, всё работает. Запустил zet, запустил в нём zeliard.. Клёво, хотя без звука не совсем торт. Хоть и лучше, чем на поиске, на котором я его проходил когда-то
вопросы - что же всё-таки со звуком? Звук только в TS-conf и в atari? (который я ещё не запускал)

- - - Добавлено - - -

ещё вопрос - в nes карики пишутся во флеш? На сколько циклов его хватит? А то хочется и с досом поиграться.. и с Nes..и с остальным..

AndyD
29.05.2016, 22:22
На сколько циклов его хватит?
100000 циклов записи, обещает winbond.
– More than 100,000 erase/write cycles
– More than 20-year data retention

vazman
04.06.2016, 21:12
звук через переходник (http://zx-pk.ru/showpost.php?p=729744&postcount=46)
Однако, похоже, данное сообщение поXOR.. Как всё-таки сделать звук?
И кто-то может подсказать - как всё-таки запустить nes? jic не прошивается - похоже, он создан для EPCS64. а надо для epcs16 ?
Как создать другой jic?? Или есть у кого?

andykarpov
05.06.2016, 09:42
Как всё-таки сделать звук?

Вопрос, все же, больше к MVV, как к автору порта конфигурации zet на u16.
Судя по коду, звук должен работать.
Но фактически - такая же фигня, тишина на выходе.



И кто-то может подсказать - как всё-таки запустить nes? jic не прошивается - похоже, он создан для EPCS64. а надо для epcs16 ?
Как создать другой jic?? Или есть у кого?

Я могу ошибаться, но алгоритм действий там примерно такой должен быть:
1) кладем 12 любимых образов игрушек в u16_nes/rtl/loader/games
2) конвервируем их в hex (с помощью bin2hex)
3) создаем в квартусе cof как при создании jic, как будто мы хотим в EPCS16 залить также и эти все hex'ы вместе с прошивкой
4) смотрим адрес начала и конца каждой игрушки, впиливаем их в u16_nes/rtl/loader.asm (там есть константы rom1, rom2, rom3 ... rom12)
5) компилируем loader.asm с помощью sjasmplus, на выходе получаем loader.bin
6) конвертируем loader.bin в loader.hex
7) собираем прошивку в квартусе, loader.hex автоматом подхватится
8) создаем из полученной прошивки jic, не забываем в том же порядке, как и на шаге 3 подцепить образы игрушек
9) заливаем полученный jic в плату
10) profit ? :)

ЗЫ: сам еще не пробовал, но все говорит о том, что алгоритм действий должен быть +- похож на правду.

vazman
05.06.2016, 10:49
Сделал для пробы немного проще. просто создал jic с ромом марио по адресу AF6E9 (подглядел в файле map). залил его. а потом залил nes.sof
Марио запустился. Но изображение дрожит влево-вправо с частотой так примерно герц 5. Звука нема . Пока не загружал ром с игрой в память - изображение не дрожало(когда была только заставка NES build By MVV)

- - - Добавлено - - -

телек показывает разрешение то 516x480@60Hz то 520x480@60Hz
всё время терят синхронизацию..

- - - Добавлено - - -

два других телека - режим не поддерживается

- - - Добавлено - - -

кстати и перекомпилить проект не выходит - при попытке открыть проект кактус (13.0 sp1 64) пишет
Error (125091): Tcl error: ERROR: Illegal assignment: IP_GENERATED_DEVICE_FAMILY. Specify a legal assignment name.

while executing
"set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone IV E}""
(file "rtl/memory/ram.qip" line 3)
Info (125063): set_global_assignment -name IP_TOOL_VERSION 15.0 -qip rtl/memory/ram.qip

а я не настолько хорошо его знаю, чтобы понять, что он хочет
похоже - ему что то не нравиться с назначением пинов? Но как исправить - не знаю

andykarpov
05.06.2016, 14:05
Марио запустился. Но изображение дрожит влево-вправо с частотой так примерно герц 5. Звука нема .

Эту проблему уже на форуме обсуждали, известная бага.
Решения пока нет.



Пока не загружал ром с игрой в память - изображение не дрожало(когда была только заставка NES build By MVV)

Потому что loader использует другое разрешение и другой способ формирования изображения (софт-ядро на NZ80CPU), вероятно.



кстати и перекомпилить проект не выходит - при попытке открыть проект кактус (13.0 sp1 64) пишет
Error (125091): Tcl error: ERROR: Illegal assignment: IP_GENERATED_DEVICE_FAMILY. Specify a legal assignment name.


Тут, судя по всему, версия IP-компонента от 15-й версии квартуса, и 13-му квартусу это не нравится.
Можно попробовать вместо qip-файла ram.qip подключить ram.vhd
Либо апгрейдиться на 15+ версию :(

Anykey
06.06.2016, 18:37
Попробовал сделать Profi v3.2 U16 profi.jic (https://yadi.sk/d/NgUWwzmXsJ2QD)
из u16_speccy

Без контроллера дисковода, так что программ не позапускать, но меню тестов
работает.

Звук на DP, DN.

F3 = 3.5/7.0MHz,
F4 = GRESET,
F5 = NMI,
F6 = блокировка CMR1 (Кнопка Оn/Оff),
F7 = рамка,
F9 = turbo 7.0/14.0MHz,
F10 - Кнопка Test,
F12 = видео режим 0: Spectrum profi; 1: Pentagon;
Scr Lock - HReset

point777
10.06.2016, 08:24
Запустил zet, запустил в нём zeliard.. Клёво, хотя без звука не совсем торт. Хоть и лучше, чем на поиске, на котором я его проходил когда-то
вопросы - что же всё-таки со звуком? Звук только в TS-conf и в atari? (который я ещё не запускал)


Я вот пробовал разные версии ZET запускать, которые тут проскакивали и на GIT хабе - ни один не запустился, просто нет сигнала.
Ревизия платы С, Cyclone IV EP4CE22E22C8N.
Может будут у кого какие идеи - почему не работает?

vazman
10.06.2016, 10:54
я запускал с гитхаба . Плата ревизии С

andykarpov
10.06.2016, 14:37
кстати и перекомпилить проект не выходит - при попытке открыть проект кактус (13.0 sp1 64) пишет
Error (125091): Tcl error: ERROR: Illegal assignment: IP_GENERATED_DEVICE_FAMILY. Specify a legal assignment name.

У меня получилось пересобрать проект под Quartus 13.1, для этого я поубирал подключенные qip-файлы IP-компонентов, оставил только их verilog код. Также в файле проекта qsf пару лишних инструкций тоже убрал. Но дальше лоадера u16_nes у меня не работает - по F1 - F12 что-то происходит, на заднем плане лоадера идет какое-то броуновское движение в виде едущих по диагонали точек, иногда какие-то битые спрайты проскакивают, но реально ни один rom не запускается :(

gordiole
11.06.2016, 21:09
Добрый день!
Решил собрать ReVerSE-U16. В ожидании комплектующих от наших китайских товарищей, решил заняться изучением не знакомого для меня железа. На глаза попался чип VNC2. Си для VNC2 мне показалось мало и решил изучить ассемблер, но как оказалось документация от FTDI полный отстой. Исходников от библиотек нет, например той же RTOS. Я наверное из тех программеров кто не верит, что можно написать эффективную программу для микроконтроллера не зная его систему команд и портов ввода/вывода, а VNC2 построен по типу черного ящика с сишными функциями. И родилась идея написать дизассемблер для того чтобы исправить эту ситуацию. В прикрепленных файлах откомпилированный проект от FTDI Blink (выводом порта поморгать) и предварительная версия дизассемблера.
Предварительная версия - это потому что идет изучение системы команд и есть неизвестные мне. Полностью отсутствует обработка исключений в моей программе, программа Blink и её библиотеки жёстко привязаны в дизассемблере по пути C:\VNC2, но есть и хорошие новости. Анализируя объектные библиотеки (имя.a и имя.obj) я научился восстанавливать символьную информацию для адресов функций и локальных меток. И теперь мы имеем не набор адресов, а полностью осмысленные функцию. С символьной информацией для данных в RAM и Flash ещё не разобрался, т.к. компилятор использует сегменты данных. Имена переменных есть, но точный адрес в памяти я еще не знаю.
Желающие посмотреть работу текущей версии дизассемблера могут скачав прикрепленные файлы, разархивировав их в папку C:\VNC2 запустив программу VNC2Dis.exe и нажав кнопку START.
57397
Если эта информация будет полезна форумчанам я продолжу публикование обновлений.
P.S. Забыл написать что программа написана на C# и потребуется .NET Framework 3.5

vazman
12.06.2016, 18:50
У меня получилось пересобрать проект под Quartus 13.1,
А как я не пробовали?? зашить просто jic с ромом марио по адресу AF6E9 а потом залить nes.sof??

- - - Добавлено - - -

могу скинуть jic который я создавал для EPCS16

- - - Добавлено - - -


Я вот пробовал разные версии ZET запускать, которые тут проскакивали и на GIT хабе - ни один не запустился, просто нет сигнала.
Ревизия платы С, Cyclone IV EP4CE22E22C8N.
Сейчас ещё раз попробовал. просто прошил u16_zet.jic который в корне лежит того, что я когда-то месяц назад скачал с github.com/mvvproject/ReVerSE-U16

У меня ПЛИС EP4CE22E22CBN
Flash 48LC32M16A2

- - - Добавлено - - -

Ещё вопрос- у кого что на чём показывает? У меня в конфигурации Z показывает два телека из трёх .. в конфигурации nes только один. с дрожащим изображением. Причём этот один (FUSION, который стоит в "комнате хлама") - он всеяден, умудряется даже показывать изображение с Вектора с его неправильными сихросигналами. А один - плазма большая в зале - не показал ничего на Реверсе 16.. Пишет что-то типа формат не поддерживается. А жаль.. Одна из причин, по которой собрал Реверсе - дать детям поиграть на большом телеке в """денди""" :)

- - - Добавлено - - -

сейчас увидел на гитхаб hdmi-test. Поскольку он во флеш не прошивается, а таскать с собой комп с программатором по всему дому затруднительно, протестил только fusion. До 1280-720 показывает отлично. Выше режимы не тянет

andykarpov
12.06.2016, 21:40
Помещаются прекрасно 12 ромов в EPCS16.

Вот сборка u16_nes для ревизий A и C (https://yadi.sk/d/-BOw2-15sSPXw), для камня EP4CE22E22C8 и конф флешки EPCS16, просьба проверить работоспособность, так как физического доступа к свой плате не имею пока что.

Отличия от оригинальной сборки:
- замена клавиш INS и DEL в OSD на Tab и Backspace соотв
- подключен hdmi_av компонент для вывода звука по hdmi
- сборка с помощью makefile для разных ревизий и камней

Дмитрий2012
12.06.2016, 23:10
сейчас увидел на гитхаб hdmi-test. Поскольку он во флеш не прошивается, а таскать с собой комп с программатором по всему дому затруднительно, протестил только fusion. До 1280-720 показывает отлично. Выше режимы не тянет
Телевизор TOSHIBA показывает во всех режимах. Только в 800х600 на экране присутствует мусор.


Вот сборка u16_nes для ревизий A и C, для камня EP4CE22E22C8 и конф флешки EPCS16, просьба проверить работоспособность, так как физического доступа к свой плате не имею пока что.
Конфигурация вроде запускается, ромы грузятся, звука можно сказать нет. В основном тишина, иногда треск раздается из динамиков. Изображение, видимо как и у всех, дрожит или пропадает.
Проверял на платке ревизии А.

point777
12.06.2016, 23:54
сейчас увидел на гитхаб hdmi-test. Поскольку он во флеш не прошивается, а таскать с собой комп с программатором по всему дому затруднительно, протестил только fusion. До 1280-720 показывает отлично. Выше режимы не тянет

Эти тесты для какой платы? У меня на "С" ничего не показало, совсем - монитор не выходил из спячки.

Дмитрий2012
13.06.2016, 00:01
Эти тесты для какой платы?
Я проверял на платке ревизии С.

vazman
13.06.2016, 08:02
Эти тесты для какой платы?
И у меня C

- - - Добавлено - - -


Вот сборка u16_nes для ревизий A и C,
Сейчас с утра, перед работой, попробовал залить Вашу версию - картриджи грузятся, намёка на звук нет, изображение по-прежнему дрожит.

point777
13.06.2016, 08:45
Совершеннейшим образом не понимаю почему тогда у меня HDMI тесты не проходят.
Можно ли как-то это диагностировать?

Монитор у меня HP LP2480zx, по идее должен понимать те режимы, есть же стандартные типа 1024x768...

andykarpov
13.06.2016, 09:18
Конфигурация вроде запускается, ромы грузятся, звука можно сказать нет. В основном тишина, иногда треск раздается из динамиков. Изображение, видимо как и у всех, дрожит или пропадает.
Проверял на платке ревизии А.


Сейчас с утра, перед работой, попробовал залить Вашу версию - картриджи грузятся, намёка на звук нет, изображение по-прежнему дрожит.

Со звуком нужно будет еще глянуть аналоговый звук, я его не убирал, он есть или нет?
Если есть - тогда проблема с кодированием звука по hdmi, иначе - проблема более глобальная в самом ядре nes.

С поддержкой видережима на всех девайсах и дрожанием изображения - идей пока нет, как можно повлиять на ситуацию.
Разве что попробовать привести размер картинки к какому-нибудь стандартному типа 720x480, может быть ?

Дмитрий2012
13.06.2016, 10:18
Со звуком нужно будет еще глянуть аналоговый звук, я его не убирал, он есть или нет?
Аналоговый звук есть, по HDMI один треск в динамиках.

А вот с видео совсем беда. Я думаю надо сначала с видеорежимами разобраться. Получается, что NES единственная конфигурация, у которой проблемы с выводом изображения.

https://youtu.be/dFTZGGegzUw

andykarpov
13.06.2016, 11:00
А вот с видео совсем беда. Я думаю надо сначала с видеорежимами разобраться. Получается, что NES единственная конфигурация, у которой проблемы с выводом изображения.

MVV, по-идее, портировал конфигурацию NES с платы MIST-board (https://github.com/mist-devel/mist-board/tree/master/cores/nes), а там на сегодняшний день внесены как раз некоторые изменения в модуль формирования изображения. Думаю, надо от этого отталкиваться (https://github.com/mist-devel/mist-board/blob/master/cores/nes/src/video.v), чтобы починить видео на u16.

vazman
13.06.2016, 15:39
Люди добрые. Где его взять


Со звуком нужно будет еще глянуть аналоговый звук

Всю эту тему перечитал бегло.. понимаю, что должен быть звук через какой то переходник? но конкретнее всё повырезанно, видимо...

andykarpov
13.06.2016, 15:52
что должен быть звук через какой то переходник? но конкретнее всё повырезанно, видимо

для ревизии A:
https://raw.githubusercontent.com/mvvproject/ReVerSE-U16/master/u16_board/modules/audio/u16_audio.png

для ревизии C:
https://raw.githubusercontent.com/mvvproject/ReVerSE-U16/master/u16_board/modules/audio/u16c_audio.png

- - - Добавлено - - -

ЗЫ: это все есть в открытом доступе в официальном git-репозитарии платы (https://github.com/mvvproject/ReVerSE-U16).

vazman
13.06.2016, 16:00
И, если это можно, скините проект для кактуса 13? Я сильно начинающий, но посмотреть интересно. А переставлять кактус на более свежий не хочется.. У меня ещё altera de2, а там циклон 2, его нет в кактусах>13

andykarpov
13.06.2016, 16:31
И, если это можно, скините проект для кактуса 13? Я сильно начинающий, но посмотреть интересно. А переставлять кактус на более свежий не хочется.. У меня ещё altera de2, а там циклон 2, его нет в кактусах>13

Если квартус под линуксом, то можно взять в моем репозитарии на github (https://github.com/andykarpov/ReVerSE-U16).

Там через Makefile создается проект и сопутствующие файлы под нужную ревизию платы.

Хочу еще приделать автоматическую генерацию hex-файлов, сборку загрузчика под заданные rom'ы, чтоб ничего ручками прописывать на надо было. Положил нужные ромы в папочку, а makefile сам все сделает и на выходе будет jic.

Либо в аттаче 57409 под ревизию A.

vazman
13.06.2016, 17:58
кстати, сходу не нашёл nes для de1/2, что довольно странно

andykarpov
13.06.2016, 18:43
кстати, сходу не нашёл nes для de1/2, что довольно странно

Да ладно :) https://geektimes.ru/post/258028/

vazman
13.06.2016, 19:12
Не, то, что оно есть - я видел. Никто попользоваться не даёт :(

point777
14.06.2016, 07:05
Есть ли возможность получить исходники u16_hdmi_test выложенных на GitHub?
Хочу поэкспериментировать, думаю что-то с платами нашими не так.

point777
17.06.2016, 21:24
В общем, естьстаренький но неплохой монитор - HP LP2480zx, последние буквы как бы даже подсказывают, что его надо использовать с ZX Spectrum'ом :)
Имеется в наличии разнообразие HDMI шнуров, разной толщины и золотым покрытием проводников.

В определенных условиях (демо и т.п) получаю дрожащее или искаженное изображение как на этом видео:

https://www.youtube.com/watch?v=P--xiLqDw0s

Монитор возможно и чувствителен к сигналу, этого не отрицаю, однако другое железо показывает отлично как то всякие RPi, Pine A64, Speccy2010 (через активный VGA2HDMI переходник), к тому же частоты поддерживаемые монитором просто рекомендуют его к использованию с ретро железом.

Давеча в группах ZX на FB встретил высказывание относительно U16:
"Yop, i special buy second tv for this U16 board, some cores working on first, some on second.... HDMI out was bad idea i think"

Было бы отлично если бы кто-то занялся вопросом фикса HDMI.

AndyD
18.06.2016, 00:06
В определенных условиях (демо и т.п) получаю дрожащее или искаженное изображение как на этом видео:
Так Тс конфа не доделана,это глюк времянок,вывод на хдми не причем,железо евы описано не точно,вот и лаги проскакивают в некоторых прогах.

point777
18.06.2016, 00:27
Так Тс конфа не доделана,это глюк времянок,вывод на хдми не причем,железо евы описано не точно,вот и лаги проскакивают в некоторых прогах.

Грызут меня сомнения на этот счет, так стало быть HDMI ни при чем?

Вот это имею на других hdmi шнурках, которые отлично работают с другим железом, при использовании "золотого" hdmi глюк исчезает:
http://jpegshare.net/images/c5/38/c5386cac9f398eb9761bf0ec9ec5d98f.jpg (http://jpegshare.net/)

http://jpegshare.net/images/13/05/130525aeded6abb70c1daad0206ff63f.jpg (http://jpegshare.net/)

AndyD
18.06.2016, 09:38
Вот это имею на других hdmi шнурках
а вот это ,не собирается в хдми диф пара, половинка зеленого (HDMI D1N) и некоторые моники этот сигнал плохо отрабатывают с шумом как у тебя на картинке,я этот сигнал резистором на землю посадил со стороны разьема и стало работать везде и на монике и на тв,в прошивке сигнал посажен на землю но почему то шумы все равно лезут на этот сигнал.Не собирается на жирных циклонах,вроде из за ограничения именно в циклоне ,тоесть такой костыль ,но он работает.

point777
18.06.2016, 10:51
а вот это ,не собирается в хдми диф пара, половинка зеленого (HDMI D1N) и некоторые моники этот сигнал плохо отрабатывают с шумом как у тебя на картинке,я этот сигнал резистором на землю посадил со стороны разьема и стало работать везде и на монике и на тв,в прошивке сигнал посажен на землю но почему то шумы все равно лезут на этот сигнал.Не собирается на жирных циклонах,вроде из за ограничения именно в циклоне ,тоесть такой костыль ,но он работает.


Вроде как 144 выход с FPGA?
Я заметил, что касаясь его пальцем помехи еще похлеще становятся...
За мысль с резистором спасибо, какого номинала стоит поставить?

AndyD
18.06.2016, 11:03
За мысль с резистором спасибо, какого номинала стоит поставить?
100 Ом вроде,я от циклона R17 отпаял и на землю посадил на 100ом.

Вроде как 144 выход с FPGA?
нет 143 вывод.

alsp
20.06.2016, 10:48
HDMI Test (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_hdmi_test) на новом модуле TMDS, теперь правильно работают все дифпары.
Spec256 (http://forum.tslabs.info/viewtopic.php?f=12&t=672&p=23866#p23866)на ReVerSE-U16 rev.C




100 Ом вроде,я от циклона R17 отпаял и на землю посадил на 100ом.
Информация от MVV: делать это не нужно, на новом модуле TMDS всё нормально работает до 472МГц.

point777
21.06.2016, 07:58
Если ни один из HDMI Test'ов не работают - монитор просто в спячке (нет сигнала), то что и как можно диагностировать?
При этом TSConf и Atari работают.

alsp
21.06.2016, 16:45
Обновлен Spec256 (http://forum.tslabs.info/viewtopic.php?f=12&t=672&p=23957)- пофикшен вывод на HDMI.

5744657447

Проверил у себя - у меня завелось - Спекки с 256ти цветной графикой - прикольно

Mor-Da
21.06.2016, 19:04
Пока идет плата от alsp, буду донимать вопросами. Вот такая РАМа от видяйки подойдет?http://i75.fastpic.ru/big/2016/0621/6b/db5c8bda19e86d0a30893204488a296b.jpg

alsp
21.06.2016, 21:36
Вот такая РАМа от видяйки подойдет
Она вроде по ногам не походит...

- - - Добавлено - - -


Если ни один из HDMI Test'ов не работают
MVV обновил сегодня версию тестов - у меня до обновления не работали, после - все работают отлично.

point777
22.06.2016, 20:01
MVV обновил сегодня версию тестов - у меня до обновления не работали, после - все работают отлично.

Попробовал обновленные тесты - все работают, спасибо.


MVV,
Ты сюда больше не заходишь? Связь с автором как держать?

andykarpov
09.07.2016, 20:48
Обновил конфигурацию NES для U16 (http://github.com/andykarpov/nes-u16):
- добавлен фреймбуффер
- изображение выводится в видеорежиме 720x480x60
- вывод звука через av_hdmi
- в отдельном бранче живет вывод изображения без звука по новой методике от MVV

Тестовая сборка для rev.A и C доступна тут: https://yadi.sk/d/-BOw2-15sSPXw

Что не работает в данной сборке:
- режим hq2x, его пришлось выкосить из проекта, потому что памяти не хватило бы для фреймбуффера
- OSD. с ним нужно подшаманить, чтобы выводить его в нужном клоке, пока и без него норм.

Дмитрий2012
09.07.2016, 21:44
Отлично, вот теперь можно пользоваться данной конфигурацией:) Никакого намека на подергивание изображения. За звук по hdmi отдельная благодарность. Теперь можно забыть про дополнительный шнурок. Еще кто бы написал "мануальчик" как свои ромы в сборку вставлять у кого нет Linux ...

andykarpov
09.07.2016, 23:21
К сожалению - пока никак. У MVV есть в планах написать для NES загрузчик с SD-карточки.

А пока ситуация такая:
- длины ромов все разные,
- чтобы утрамбовать их в EPCS16, нужно чтобы они следовали друг за другом.
- адреса ромов прописываются в loader.asm, который собирается в бинарник перед сборкой проекта
- затем этот loader.bin помещается в ROM-блок альтеры
То есть без полной пересборки проекта не обойтись.

Можно, конечно, пока подумать над тем, чтобы
1) ограничить ромы до фиксированной длины (скажем в 64Кб)
2) в таком случае пересобирать проект не надо будет,
3) а просто пересоздавать jic, подкладывая нужные ромы вместо старых

Еще как вариант - поставить простенький дистрибутив linux в виртуалке.

Я лично использую такую связку:
1) VirtualBox (с виртуальным диском в 15 гигов)
2) Linux BunsenLabs (http://bunsenlabs.org)
3) Quartus 13.1 Web Edition, без ModelSim и только c поддержкой Cyclone IV
4) make, sjasmplus, php56, ... и другие зависимости
5) обмен с хост-системой через shared folders

vazman
10.07.2016, 08:06
Подтверждаю, изображение чёткое, звук есть! Спасибо!!

Mor-Da
21.07.2016, 12:11
Вопрос к знатокам: по по воду припайки Альтеры. Что там с дыркой-то делать, где-то встречал, но никак не найду. Подскажите

andykarpov
21.07.2016, 12:24
Капнуть туда припоя, чтобы припаять подложку микросхемы к этой "дырке" :)
Иначе - работать не будет.
Если ревизия платы A - то под альтерой желательно закрыть переходные отверстия, чтобы исключить контакт с подложкой.

andykarpov
25.07.2016, 19:12
Представляю на суд общественности поделку "выходного дня" - переходник из 2x SNES геймпадов в USB (эмулируется клавиатура с раскладкой, примененной в Reverse-U16 NES). На выходе получим "уже сейчас" играться в U16 NES на SNES геймпадах :)

57660

Что потребуется:
1) Arduino Leonardo (или другая плата с чипом Atmega32U4)
2) 2x SNES джойстика
3) 2x ответных разъема под них
4) AVR-программатор (usbtiny или что-то подобное)
4) Опционально - монтажная платка
5) немного МГТФа :)

Прошивка построена на базе библиотеки LUFA (самая продвинутая реализация USB для Atmel'овских чипов на сегодняшний день).
Ибо родная поддержка USB в Arduino не взлетела по причине составного USB-устройства (вместе с HID там был еще и неотключаемый CDC).
Исходники и бинарник (неоформленные, сорри): 57659.

Геймпады подключаются 5 проводками, где 2 их них - питание 5В. Распиновка гуглится.

Геймпад 1:
D6 (PD7) - clock
D7 (PE6) - latch
D12 (PD6) - data

Геймпад2:
D8 (PB4) - clock
D9 (PB5) - latch
D10 (PB6) - data

Вот, собственно, и все :) Удачи в сборке, кто возьмется :)

sergio78
25.07.2016, 20:35
редставляю на суд общественности поделку "выходного дня" - переходник из 2x SNES геймпадов в USB
и зачем такая штука с проводами, когда али завален USB джойстиками сделанными полностью под старину?

andykarpov
25.07.2016, 21:09
и зачем такая штука с проводами, когда али завален USB джойстиками сделанными полностью под старину?

:) Это да, но USB-геймпады все разные, и их еще "привинтить" надобно. Сколько еще ждать, пока кто-нибудь напишет поддержку хотя бы простеньких USB NES геймпадов - непонятно. А тут - почти готовое "временное" решение, на коленке, зато работает сразу :)

perestoronin
25.07.2016, 22:06
Не спортивно. Рынок завален шикарными геймпвдами usb-hid и микоконтроллерами stm32f2 (не забыть бы и про их конфигуратор "щелкни мышкой": (http://www.st.com/content/st_com/en/products/development-tools/software-development-tools/stm32-software-development-tools/stm32-configurators-and-code-generators/stm32cubemx.html))

Mor-Da
05.08.2016, 11:36
А есть вопрос (я не очень схемотехник): а дроссельки на 12NH вместо 10NH подойдут, или очень критично?

Mor-Da
05.08.2016, 15:32
меня еще волнуют С1 - С4, по схеме требуемые 24pF (в даташите 22), а по факту в наличии оказались только 27, их и запаял. Заведется-ли?

zebest
05.08.2016, 18:26
Кварцы иногда и без конденсаторов заводят и с одним бывают схемы. и с 10 пикушками бывают . Думаю заведется.
Дроссельки по схеме посмотрел. Проходные по питанию, не столь принципиально. я не аффтор конечно, но так думаю что ENC и VNC2 -вторичны. Ты запусти основную схему а с USB и сетью глуБоко потом можно разбирацца. имхо добавлять?

solegstar
05.08.2016, 20:50
Дроссельки по схеме посмотрел. Проходные по питанию
я у себя на U9 вообще их заменил перемычками, т.к. не нашел...

alsp
06.08.2016, 13:28
USB-геймпады все разные, и их еще "привинтить" надобно
Если вдруг у кого дойдтут руки раньше моих - я в Атаревской (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_atari800)прошивке изначально сделал поддержку USB HID джойстиков (вот таких (http://defender.ru/catalog/gamepads/game-master-g2-usb-13-buttons) по 250руб). Одно но - для полноценной реализации необходимо разбирать USBшный report по кнопкам и автоматически их переназначать в VNCшной прошивке...
У всех HID джойстиков последовательность байт в reportах разная, поэтому в Atari работают только вышеуказанные.

Mor-Da
15.08.2016, 19:53
Поделитесь опытом запайки кварцевого генератора. Сплав Розе и феном слегка?

vazman
15.08.2016, 20:17
. я паяльником паял..и припоем обычным.. проволочным с флюсом.. немного припоя на один контакт.. приложил генератор.. прогрел.. потом остальные ноги.. вроде - ещё жидким флюсом немного поливал из шприца.. Не претендую на истину, я только учусь.. но феном ПРИПАИВАТЬ имхо только BGA

AndyD
15.08.2016, 22:16
я тоже паяльником все паял,проволочный тонкий припой(1-1.5) с флюсом и тонкое жало паяльника вот залог успеха.Можно и феном,залудил площадки кварц пинцетом придерживаешь и прогреваешь, флюс под кварц каплю чтоб не на сухую и все получится.

Mor-Da
15.08.2016, 22:38
Я так понимаю, после припайки Циклона это вашпе плевое дело?
... Это я так... гипотезирую... нет, пока, на руках генератора, вот и предвосхищаю...

AndyD
15.08.2016, 22:50
а ты циклопа еще не паял?,тогда ,да.Вообще дело практики,когда много паяешь,то и циклоп дело 10 минут.

alsp
15.08.2016, 23:57
Я генераторы паял так:
1. на все контакты генератора по капле припоя;
2. на все контакты посадочного места под генератор по капле припоя;
3. Прикладывал пинцетом генератор и нагревал феном - он сам на место встает под поверхностным натяжением припоя.

А для циклона - жало "самодельная микроволна" - это наше все - запаивается за 1-2 минуты...

finevlad
18.08.2016, 14:51
Я так понимаю, после припайки Циклона это вашпе плевое дело?
... Это я так... гипотезирую... нет, пока, на руках генератора, вот и предвосхищаю...

для пайки циклона обзаведись мелким solder wick! незаменимая вещь
сначала пару ножек с угла паяю чоб выронить кристалл а поом паяю
причем я даже ленюсь немного - протираю площадки жидким флюсом и потом паялом и припоем пробегаюсь не сильно страдая сколько залиплоо
потом берешь и виком проходишь с паяльником - выглядит как из печки

кварцы не паяю феном - в чем смысл?
2 площадки по диагонали наливаешь капельку припоя и укладываешь кварц - касаешься - варавниваешь - потом 2 остальные

тут получил заказанные платы под u16 так что-то переходные под циклоном не залиты краской
вот думаю каптоном заклеить перед пайкой - такое нормально? не каждую индивидуально а пару полосочек с двух сторон чотб брюхом не касался

сам жду пока комплектуха добежит
еще одного железячника - проффессионала под хиликов и альтеры заинтриговал платкой - как соберу будет кому помочь с прошивками!

Mor-Da
18.08.2016, 15:34
да у меня уж все запаяно, кроме КварцГена, МикроСД и ЛАНа... Да еще в V2debug осталось добавить 1G126. И фсё...

Копейкин
18.08.2016, 16:06
тут получил заказанные платы под u16 так что-то переходные под циклоном не залиты краской
вот думаю каптоном заклеить перед пайкой
Обязательно изолируйте.
У меня коротили 2 или 3 переходных отверстия.
Пришлось снимать Циклон и закрывать.

finevlad
19.08.2016, 00:31
тут как-то вопрос заходил про питание от типовых блоков питания 6..12В
вот есть вариант который я опробовал какое-то время назад
57922
Есть варианты с фиксированным выходом AP1509-50 5В 2А
пример платы:
57923

AP1506 3А

Mor-Da
24.08.2016, 20:09
Rev.C (черная) EP4CE22E22C8N / MT48LC16M16A2 / W25Q64FVSSIG, TS-Conf - брал из репозитория MVV. Сначала шил ep4ce22c7_epcs16.jic - не завелось. Потом конвертнул ep4ce22c7_epcs64.cof в .jic - запустились всё. Собственно вопрос: не могу сделать сброс в ERS. Из ROM0 - черный экран, любой из ROM4-ROM7 - черно-синий вертикальный матрас. ЧЯДНТ?

solegstar
25.08.2016, 02:11
Mor-Da, а когда .jic собирал, вставлял в него образ пзу вручную? у меня на u9 было тоже самое, пока правильно не собрал всё. последовательность сборки jic-файла должна быть в отдельном txt-файле в исходниках.

Mor-Da
25.08.2016, 05:36
Это?https://github.com/mvvproject/ReVerSE-U16/blob/master/u16_zet/flash/JIC.JPG

solegstar
25.08.2016, 06:24
Это?https://github.com/mvvproject/ReVerSE-U16/blob/master/u16_zet/flash/JIC.JPG
может для u16 другие файлы и адреса нужны. я делал для u9 по этому тексту.

File>Convert Programming Files
Programming file type: JTAG Inderect Configuration File (.jic)
Configuration device: EPCS4
Flash Loader>Add Device...>CycloneIII>EP3C10>OK
SOF Data>Add File...>filename.sof>Открыть
Add Hex Data>Relative addressing>Set start address: 0x060000>Hex file>filename.hex>OK
SOF Data>Propirties>Address mode for selected pages>Start>Start address (32-bit hexadecimal): 0x0>OK
Generate

Mor-Da
28.08.2016, 19:40
Rev.C - EP4CE22E22+16M16+W25Q64FVSSIG+TS-Conf
Может кто соберет TS-Conf с HDMI-Audio, а то у меня пока нет возможности Линукс накатить.

AndyD
28.08.2016, 21:27
пока нет возможности Линукс накатить.
А можно поинтересоваться нафига нужен линух? Че-то, я не в курсе.

Mor-Da
28.08.2016, 21:55
А можно поинтересоваться нафига нужен линух? Че-то, я не в курсе.Согласно этого (https://github.com/andykarpov/tsconf-u16).

andykarpov
28.08.2016, 22:22
Rev.C - EP4CE22E22+16M16+W25Q64FVSSIG+TS-Conf
Может кто соберет TS-Conf с HDMI-Audio, а то у меня пока нет возможности Линукс накатить.

Собрал. Можно забрать в Я.Диске (https://yadi.sk/d/8dxZt5lDuYqnr)

Mor-Da
29.08.2016, 05:33
Собрал. Можно забрать в Я.Диске (https://yadi.sk/d/8dxZt5lDuYqnr)О-о-о, спасибо огромное. Все заработало.

Mor-Da
29.08.2016, 19:02
Только что-то громкость звука "тиховата".

andykarpov
31.08.2016, 00:28
Только что-то громкость звука "тиховата".
Там это, в оригинальном авторском репозитарии (http://github.com/mvvproject/ReVerSE-U16) закоммичены изменения и готовые бинарники, судя по всему теперь из коробки есть hdmi звук, при этом нет проблем с дифпарами. Просьба проверить.

AndyD
31.08.2016, 10:58
в оригинальном авторском репозитарии
ts-conf epcs16(25p16)58615

Mor-Da
31.08.2016, 21:40
Прошился новым билдом. Красота, звук - норм.

finevlad
27.09.2016, 21:50
Наконец-то получил сегодня циклопа от китайцевю
Есть ли какая прошивка чтоб проверить живой ли кристалл?
Я так понимаю что по-минимуму надо питание и кристалл. Может еще флешку.
Сразу на HDMI или есть что попроще для начала?
Может есть потом чтоб проверить озушку?

Для VNC еще программатор надо паять как я понимаю.
И часиков пока нет, не пришли еще.

vazman
27.09.2016, 22:45
Мне кажется - плисс для начала, должна программатором увидеться..?

alsp
28.09.2016, 18:53
Я так понимаю что по-минимуму надо питание и кристалл.
И генератор не забыть.

А тест минимальный, на данный момент, есть только с HDMI, так что его тоже стоит распаять.

finevlad
02.10.2016, 15:57
Собрал, по ходу обнаружив несколько проблем. Пара корпусов получена не тех что заказаны. Вместо PCA9306DCTR прислали DCU - разогнул крайние лапы. Флеш 25P16 в более широком корпусе. Кварцевый генератор китайцы прислали хз. Хорошо что обычно дублирую у разных продавцов. Другой оказался что надо и провеил что генерит.
Бластер так и не пришел - собрал свой на макетке.
В общем квартус увидел устройство правда спросил это EP3 или EP4 что странно - я думал там уникальный ID.
Вот что получилось:
58393
58394
58395
58396
58397
58398

Что лить для теста?
Плисина EP4CE22

alsp
03.10.2016, 11:37
Что лить для теста?
Вот это (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_test)для начала - оно память внешнюю не использует

finevlad
02.11.2016, 14:38
Вот это (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_test)для начала - оно память внешнюю не использует
там собранные .sof из под 15.0 а у меня 11.0 квартус не понимает файлы
собираю сам заменив .qip на .vhd
вроде все собирается без проблем
программатором .sof заливается в кристалл - правда ничего на мониторе не вижу - может на телике надо смотреть?
а вот когда я пытаюсь залить код в епромку то получаю проблему
собираю .jic указываю правильный камень
когда же в программаторе пытаюсь залить то получаю вот что:

Info: Started Programmer operation at Tue Nov 01 16:20:56 2016
Info: Configuring device index 1
Info: Device 1 contains JTAG ID code 0x020F30DD
Info: Configuration succeeded -- 1 device(s) configured
Error: Can't recognize silicon ID for device 1
Error: Operation failed
Info: Ended Programmer operation at Tue Nov 01 16:20:59 2016

и тут не понятно это не понимает епромку или сам камень не отрабатывает прошивку сквозного программирования?

alsp
02.11.2016, 14:46
там собранные .sof из под 15.0 а у меня 11.0 квартус не понимает файлы
можно скачать Quartus Programmer от 15й чтобы проверить наверняка... или полную Web Edition - она бесплатная.


Программатором .sof заливается в кристалл - правда ничего на мониторе не вижу - может на телике надо смотреть?
Вообще тест у меня и моего товарища (т.е. на 4х платках) на всех мониторах и телевизорах показывал... так что возможно где нибудь залипон или непропай...


это не понимает епромку или сам камень не отрабатывает
Альтерину он видит, обычно такая ошибка бывает когда не правильно указываешь тип флешки.

finevlad
02.11.2016, 23:52
Обнаружил причину - нижний пад непропаян был. Отверстие затянуло припоем но пада он не касался.
После припаивания все побежало прошиваться и из вновь скачанного 15.1 и бывшего 11.0.
58659

andykarpov
20.11.2016, 18:50
Обновил build-скрипты под последнюю версию исходников u16-nes: https://github.com/andykarpov/nes-u16

Что нового:
- прикручен SNES usb gamepad
- актуализирована версия исходников с мастер-проектом
Кому нужна сборка под Reverse U16 rev.A - могу выложить jic (для W25Q64), внутри - 24 игрухи.

Нашел легкий способ прикручивания usb геймпадов к конфигурации, для этого нужен linux:
1) подключаем usb геймпад
2) находим id девайса и его hid-репорт через sudo usbhid-dump
3) запускаем мониторинг в реальном времени через sudo usbhid-dump -s 4:10 -f -e stream (где 4:10 - id девайса)
4) нажимаем кнопки, смотрим, что меняется и как
5) вносим изменения в rtl/keyboard/hid.vhd (достаем нужные биты из нужных байтов)
Как показала практика, отличия от авторского gamepad'а и моего - в кнопках, оси передаются в том же порядке

Anubis_OD
20.11.2016, 19:24
Кому нужна сборка под Reverse U16 rev.A - могу выложить jic (для W25Q64)

Да. Сделай. Буду признателен
Плату чистую С купил. Но деталей пока нет.

andykarpov
20.11.2016, 19:31
https://yadi.sk/d/EQ3Z4mx3z5hWi - jic для revA.

sergio78
02.01.2017, 19:21
Плату чистую С купил. Но деталей пока нет.
я точно так же сижу галахом.

sergio78
11.02.2017, 17:08
ну да, проект цветет и пахнет. за целый месяц комментарий опять только от меня пришел.

sergio78
12.02.2017, 16:17
Плату когда соберете, чтобы что-то начать уже делать?
просто денег нет. я переоценил свои возможности при покупке платы. мелочевку собрал конечно, а вот на самой альтере обломался похоже что окончательно. вообщем чудес не бывает.

andykarpov
17.02.2017, 11:56
Вчера получить запрограммировать Reverse-U16 через JTAG интерфейс с помощью Arduino.
А это открывает новую возможность: можно сделать небольшой addon для Reverse-U16, да и вообще для любой Reverse / DivGMX / и вообще любой девборды с JTAG.
На этом аддоне будет JTAG-разъем, SD-карточка, atmega328p какая-нибудь, OLED-экранчик и пара кнопок для навигации.
На экранчике будет меню с выбором из готовых конфигураций, по кнопочке она будет читаться с SD-карты и вливаться в FPGA.
Такой себе получится автономный программатор :) Можно будет положить все готовые конфигурации на SD-карточку и все, получаем на выходе законченное устройство.

Теперь немного подробностней:
1) есть платформенно-независимые форматы для программирования / тестирования ПЛИС и не только, через JTAG: SVF и XSVF.
2) Quartus Programmer умеет из SOF делать SVF
3) В сети блуждает утилитка SVF2XSVF, с помощью которой можно сделать XSVF. у меня получился рабочий XSVF с такими ключиками:
svf2xsvf502 -rlen 128 -s -fpga -i u16.svf -o u16_7.xsvf
4) Исходники проекта, на котором пробовал шить JTAG через usb serial с помощью Arduino: https://github.com/mrjimenez/JTAG, там же есть тулза на питоне, которая передает битстрим через последовательный порт:
./xsvf -p /dev/ttyACM0 -b 115200 u16_7.xsvf

Пока на этом все, в ближайшее время попробую на базе этих исходников сделать что-то более-менее юзабельное, с SD картой и экранчиком, пока на breadboard. Кому интересно - подключайтесь :) Если атмеги хватит для задуманного - можно будет подумать и над разработкой платки в виде shield'а для Reverse-U16, которая вторым этажом будет втыкаться в JTAG / uBUS разъемы.

vlad
17.02.2017, 12:35
Уже обсуждали это. ReVerSE-U16 может заливать конфигурации самостоятельно без всяких примочек, всё необходимое уже есть на плате и реализовано в конфигурации. Просто все сразу почему-то хватаются за сложности не разобравшись в назначении устройства и его аппаратных возможностях.

andykarpov
17.02.2017, 12:43
Уже обсуждали это. ReVerSE-U16 может заливать конфигурации самостоятельно без всяких примочек, всё необходимое уже есть на плате и реализовано в конфигурации. Просто все сразу почему-то хватаются за сложности не разобравшись в назначении устройства и его аппаратных возможностях.
Куда умеет Reverse-U16 заливать? Никто так и не написал сервисную прошивку, которая работала бы с FAT32 и умела бы читать, выбирать файлы конфигурации и лить их в SPI-флешку, например. Более того, данный способ хоть и имеет право на жизнь, но у флешки все-таки ограниченное число циклов перезаписи. Плюс есть некоторые сомнения, сможет ли FPGA сама себя сбросить после прошивки для перехода (запуска) новозалитой конфигурации.

vlad
17.02.2017, 15:00
Никто так и не написал сервисную прошивку, которая работала бы с FAT32 и умела бы читать, выбирать файлы конфигурации и лить их в SPI-флешку, например.
Значит в ней нет необходимости.

но у флешки все-таки ограниченное число циклов перезаписи.
Есть конфигурации, использующие SPI-флешку, её всё-равно нужно будет перезаписывать.
Производителем гарантируется 100000 перезаписываний, что соответствует её жизни в 273 лет при перезаписи один раз в день. Интересно, что ты там собрался так часто конфигурировать? :)

можно сделать небольшой addon для Reverse-U16, да и вообще для любой Reverse / DivGMX / и вообще любой девборды с JTAG.
Для DivGMX достаточно шлейфа для JTAG (Х3) - GPIO (Х6), т.к. МК с поддержкой USB-FLASH уже есть на плате.
Что касается перспектив, то доработав прошивку VNC2 можно получить удобную работу с различными USB устройствами из разных конфигураций.

vlad
28.03.2017, 09:18
Думаю закрыть проект в связи с невостребованностью.

andykarpov
28.03.2017, 09:25
Думаю закрыть проект в связи с невостребованностью.
Не надо, плз, ничего закрывать!

Hacker VBI
28.03.2017, 09:48
не надо ничего закрывать.
всё неспешно развивается

Mor-Da
28.03.2017, 12:57
Думаю закрыть проект в связи с невостребованностью.Не надо закрывать, я на Evo не накоплю.

perestoronin
28.03.2017, 22:55
Думаю закрыть проект в связи с невостребованностью.
Почему такие мысли черные? Может чем помочь могу (можем) ?
Да, темпы быстрые взяли, не всем угнаться, просто раз в 3 месяца или даже реже обновлять тему и все будут довольны.

vwarlock
30.03.2017, 11:00
Не сочтите за наручение правил,
выставил на продажу "лишний" ReVerSE-U16 (http://market.zx-pk.ru/forum/viewtopic.php?f=7&t=6976)

omercury
30.03.2017, 19:36
ИМХО
Возможно будет жестковато. )))


Думаю закрыть проект в связи с невостребованностью.
Скорее в связи с недоделанностью.
Объясню: не учтена целевая аудитория.
Это на ресурсе TSL-а подобная плата будет встречена на "ВАУ" (Reverse, DivGMX, не суть), хотя э... "кружок" ещё тот. Здесь для большинства - это полуфабрикат, девборда. Один из ярких примеров - "сложность" в приобретении Циклона.
Платы вполне приличные, трассировка, монтаж, всё здорово, но я, например, её не куплю - зачем мне ещё одна (хоть и "крутая") борда?
Что это за торчащие во все стороны разъёмы? Куда эту конструкцию запихнуть? Ну посмотрите на ReVerSE непредвзято! И сравните их с работами, например, ILoveSpeccy, ну хотя бы здесь (http://zx-pk.ru/threads/21992-novyj-aeon.html).
Для успешности проекта его необходимо "завершить", то есть устройство ДОЛЖНО быть работоспособным "искаропки". Причём из коробки в буквальном смысле слова.
Помечаем - корпус.

Не буду искать, прямо с этой страницы.

Интересно, что ты там собрался так часто конфигурировать?
Вас это не... (https://baneks.site/%D1%81%D0%BE%D0%BB%D0%B4%D0%B0%D1%82-%D0%BF%D0%BE%D1%87%D0%B5%D0%BC%D1%83-%D1%81%D0%B0%D0%BF%D0%BE%D0%B3%D0%B8-%D0%BD%D0%B5-%D0%BD%D0%B0%D1%87%D0%B8%D1%89%D0%B5%D0%BD%D1%8B/?p=1)


Для DivGMX достаточно

Есть конфигурации,
Спасение утопающих - дело рук самих утопающих? :-)
Помечаем - сервис.


Иначе Вы сами себе и ответили:

Значит в ней нет необходимости.

vlad
31.03.2017, 01:23
Порт OneChipMSX, базируется на исходниках http://www.caro.su/msx/ocm_de1.htm


https://youtu.be/1SxAETNRm_Y

Думаю что делать с этим (https://www.youtube.com/watch?v=t8cQUWIMsRI) :

60410

Осталось подружить контроллер Ethernet на плате с ObsoNET2 - Ethernet плата для MSX (http://caro.su/msx/eth4msx.htm) и разобраться с подключением мыши (http://zx-pk.ru/threads/9392-kontroller-ps-2-mouse-dlya-msx.html)...

vwarlock
31.03.2017, 11:47
Порт OneChipMSX

Vlad,Что-то на GitHub'e не видно обновлений давно :(

vlad
02.04.2017, 23:02
Что-то на GitHub'e не видно обновлений давно
OneChipMSX для ReVerSE-U16 (сборка 20170330) (Скачать (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_msx))
основана на http://www.caro.su/msx/ocm_de1.htm

Большое человеческое спасибо caro за предоставленные исходники.

Mor-Da
03.04.2017, 13:23
OneChipMSX для ReVerSE-U16 (сборка 20170330)К сожалению я MSX в глаза не видел, поэтому мне сложно оценить по достоинству. Но еще одна конфа в копилку - это ЗАЧОТ.

vlad
03.04.2017, 14:29
К сожалению я MSX в глаза не видел, поэтому мне сложно оценить по достоинству.
TS-Conf думаю видел? Только это ещё в разы лучше, т.к. уже есть операционка SYMBOS, скопировав её на карту можешь попробовать. Описание по ссылке (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_msx).


https://www.youtube.com/watch?v=TwNmMczbZ8w

Немного доработать видео, заменить процессор на новый быстрый зетник, сделать поддержку сетки... и будет класс. Наработки с NZX на двух процессорах @42МГц у меня уже есть, так что можно попробовать их применить, т.к. в TS-Conf это не хотят поддержать, попробуем здесь.
Для начала хочу сделать поддержку мыши, но там какой-то интересный её опрос через порт джойстика, в общем игрался целый день, так и не получилось правильно её заставить работать...

finevlad
03.04.2017, 17:19
Осталось подружить контроллер Ethernet на плате с ObsoNET2 - Ethernet плата для MSX (http://caro.su/msx/eth4msx.htm) и разобраться с подключением мыши (http://zx-pk.ru/threads/9392-kontroller-ps-2-mouse-dlya-msx.html)...

вот тоже думал над эзернетом - неплохо бы сделать Remote Desktop Protocol?
как минимум в телнете отдельный отладчик - как руки дойдут второе планирую сделать

а вот по поводу мыши - зачем тут PS2 мышь если есть VNC с USB - куда можно и мышь и геймпад подключать?

- - - Добавлено - - -


OneChipMSX для ReVerSE-U16 (сборка 20170330) (Скачать (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_msx))
основана на http://www.caro.su/msx/ocm_de1.htm

Большое человеческое спасибо caro за предоставленные исходники.

там только прошивка, хотелось бы и исходники увидеть

vlad
03.04.2017, 17:47
вот тоже думал над эзернетом - неплохо бы сделать Remote Desktop Protocol?
На аппаратном уровне доступ к ENC сделаю, дальше нужно будет писать драйвер... и всё будет работать.

а вот по поводу мыши - зачем тут PS2 мышь если есть VNC с USB - куда можно и мышь и геймпад подключать?
Причём тут PS/2? Обработчик клавиатуры, мыши, джойстика уже переписан под VNC и работает, осталось отладить работу мыши, по этому описанию (http://zx-pk.ru/threads/9392-kontroller-ps-2-mouse-dlya-msx.html?p=179826&viewfull=1#post179826) мало что понятно...

finevlad
03.04.2017, 18:28
На аппаратном уровне доступ к ENC сделаю, дальше нужно будет писать драйвер... и всё будет работать.

Причём тут PS/2? Обработчик клавиатуры, мыши, джойстика уже переписан под VNC и работает, осталось отладить работу мыши, по этому описанию (http://zx-pk.ru/threads/9392-kontroller-ps-2-mouse-dlya-msx.html?p=179826&viewfull=1#post179826) мало что понятно...
по стробу =0 идет 4 старших бита знакового Х, по =1 младшие 4 бита Х
далее строб = 0 идет 4 старших Y и =1 младшие 4 от Y
PS/2-mouse to MSX v1.0 (https://www.msx.org/forum/msx-talk/hardware/use-10eu-connect-modern-mouse-msx)

finevlad
08.04.2017, 17:24
OneChipMSX для ReVerSE-U16 (сборка 20170330) (Скачать (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_msx))
основана на http://www.caro.su/msx/ocm_de1.htm

Большое человеческое спасибо caro за предоставленные исходники.

еще раз попрошу выложить исходники
в том числе чтоб подправитьи не городить огородов со звуком а через HDMI как и задумано

Trpaslik
17.04.2017, 15:02
Большая просьба: выложите свежую версию ts-conf либо в виде .sof, либо .jic под 16мбит флэшку.
У меня плата давным давно собранная с 16мбит, тут решил обновить, а на гитхабе только jic под 64мбит :(

vlad
17.04.2017, 22:10
TS-Conf для ReVerSE-U16 (сборка 20170417) (Скачать (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_tsconf/syn))
+ K-Mouse Turbo
+ SAA1099

vlad
02.05.2017, 15:24
еще раз попрошу выложить исходники
в том числе чтоб подправитьи не городить огородов со звуком а через HDMI как и задумано
OneChipMSX (сборка 20170405) (обновить (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_msx))

caro
02.05.2017, 18:04
Причём тут PS/2? Обработчик клавиатуры, мыши, джойстика уже переписан под VNC и работает, осталось отладить работу мыши, по этому описанию (http://zx-pk.ru/threads/9392-kontroller-ps-2-mouse-dlya-msx.html?p=179826&viewfull=1#post179826) мало что понятно...

Extended mouse protocol (2014)

This protocol is defined by NYYRIKKI & Prodatron in 2014. Currently only SymbOS 2.1 supports
this extended protocol. This is MSX-BIOS compatible without need to modify existing software,
but to use the extended features, you need to use external driver.

Communication is done 4bits (nibble) at a time using pin 8 as clock. High nibble will be sent
always first. This is same way as in original MSX-mouse protocol, but there are two extra
bytes added to the end.

Here is description of all the bytes sent by mouse:

Byte 1: X-axis signed delta (Original protocol) Positive value means mouse is moved to Left.

Byte 2: Y-axis signed delta (Original protocol) Positive value means mouse is moved to Up.

Byte 3 high nibble = ID nibble: (bits 7-4) = 0001
bits 7 & 6 are "00" for MSX-BIOS mouse identification
bits 5 & 4 are "01 "for Extended mouse protocol identification

Byte 3 low nibble = Button nibble:
bit 3 : 0 = Unused, reserved for future (to expand ID or to support additional button)
bit 2 : 5th mouse button: 0=Not pressed, 1=Pressed
bit 1 : 4th mouse button: 0=Not pressed, 1=Pressed
bit 0 : 3rd button (wheel button): 0=Not pressed, 1=Pressed

Byte 4: Z-axis signed delta. Positive value means wheel is moved to Up

Please note:

If bytes 3/4 are not read within 3 ms (counting starts from reading of 1st byte) mouse will
return 1st byte on next read. (This is to improve compatibility with existing software)
Minimum time between clocks is 0.18 ms.



- - - Добавлено - - -

В текущей версии OCM для DE0 и DE1 поддержка работы PS/2 мыши через порт джойстика уже встроена, правда пока без колеса.

sergio78
15.05.2017, 19:20
что то действительно вяло, всё тут уже. если кто живой тут есть, дайте ссылки на алиехпресс, где запчасти купить можно. и этот бластер програматор тоже.

vlad
15.05.2017, 19:57
что то действительно вяло, всё тут уже. если кто живой тут есть, дайте ссылки на алиехпресс, где запчасти купить можно. и этот бластер програматор тоже.
Обсуждать особо и нечего, большая часть того, что планировали работает и это большой успех. Сейчас занят разработкой для divGMX...
На барахолке есть тема (http://zx-pk.com/forum/viewtopic.php?f=7&t=4204), где можно сделать предзаказ на готовое устройство.

sergio78
15.05.2017, 20:49
где можно сделать предзаказ на готовое устройство.
мне готовое устройство ненадобно. дорого больно это выходит, да и незачем. у меня есть платы. у меня есть резисторно конденсаторная мелочевка. у меня так же есть всякие разъемы сдутые с материнских плат. но у меня нет микросхем и программаторов. хочу посмотреть и подсчитать затраты, пока ещё желание не совсем пропало, есть какие то лишние средства, ну и паяльную станцию с работы притащил, в то время как купленные платы окисляются от старости и больше мне паять нечего.

Mor-Da
15.05.2017, 21:15
Запчасти... Зайти на Али и найти продавца, у которого по-максимуму есть комплектуха. Я в Элитане все набирал.

vlad
15.05.2017, 22:05
но у меня нет микросхем и программаторов. хочу посмотреть и подсчитать затраты, пока ещё желание не совсем пропало
Желание здесь самое главное, значит всё должно получиться. Группируйтесь с кем то на заказ компонентов.

sergio78
15.05.2017, 22:12
Зайти на Али и найти продавца, у которого по-максимуму есть комплектуха
так я и спрашиваю про такого, ведь кто то же заказывал и не раз. а я сам по этой помойке налазию на бу какое нибудь, а то и вообще брак откровенный. так когда распаяешь, спор открыть не получиться. по чем в элитане вышло то?

- - - Добавлено - - -


Группируйтесь с кем то на заказ компонентов.
у меня таких знакомых нет, всё мое многочисленное окружение вызовут мне дурку, если я их начну на совместные заказы деталюшек настраивать, так как это не самогонный или коптильный аппарат, не ремонт, машина или дача.

- - - Добавлено - - -


Желание здесь самое главное, значит всё должно получиться
ой, не факт. например у моего напарника, всегда желание есть в чем то разбираться. но если он куда залез, то это уже никогда работать не будет.

Mor-Da
15.05.2017, 22:12
В Элитане - около 3килорублей.

sergio78
16.05.2017, 04:51
около 3килорублей.
ну это вполне доступная цена, надо посмотреть.

vlad
06.06.2017, 09:38
Spec256 для ReVerSE-U16 rev.C (сборка 20170604) (Скачать (https://github.com/mvvproject/ReVerSE-U16/raw/master/u16_spec256/u16c_spec256_20170604.zip))
! GFX_Z80
+ F2 = режим 256c/стандартный


https://www.youtube.com/watch?v=5JCH4aDUbvE

В этой сборке используется новый процессор GFX_Z80 вместо восьми (в предыдущей сборке (https://github.com/mvvproject/ReVerSE-U16/raw/master/u16_spec256/u16c_spec256_20160819.zip)), что делает возможным перенос Spec256 на DivGMX, т.к. дизайн стал в несколько раз меньше.

Главной особенностью является возможность запуска специальным образом адаптированных игр, графика которых заменена на 256-цветную и хранится в GFX-памяти.

ZX Spectrum, где вместо обычного процессора Z80 работает так называемый параллельный GFX_Z80 уже с 64-битными регистрами вместо 8 бит и картой памяти 64 бит вместо 8. Это позволяет иметь 256 отдельных цветов для каждого пикселя экрана, а не 16 единиц, и только 2 из 16 цветов для каждого размещения символов 8x8 пикселей.

Z80_GFX выполняет соответствующие операции, но по своим собственным адресам и со своими собственными данными, где 8 байтов машины GFX соответствуют 8 битам каждого байта в обычной машине Z80. Основное отличие режима 256 цветов заключается в следующем: вы видите не буфер видео Spectrum, а видео-буфер GFX.

Для первого представления это кажется не слишком сложным, чтобы найти части изображений, нарисованных на экране (спрайты) и добавить к нему нужные цвета, и вуаля - игра станет 256 цвет! Не нужно исправлять саму игру, взламывать ее (нетривиальная задача для непрофессионала), иметь проблемы с лицензией (просто не публиковать снимок игры и не показывать изображение GFX-памяти, например).

Lethargeek
06.06.2017, 12:01
8 байтов машины GFX соответствуют 8 битам каждого байта в обычной машине Z80
непонятно - память уже не из независимых плоскостей?
биту соответствует байт отдельный, а не 8 битов из 8 байтов?
если так, то как теперь работают табличные отражения?

NEO SPECTRUMAN
06.06.2017, 12:45
непонятно - память уже не из независимых плоскостей?
биту соответствует байт отдельный, а не 8 битов из 8 байтов?
если так, то как теперь работают табличные отражения?
какая разница
тут теперь дополнительные широкие регистры
и с табличным отражением проблем как раз не может быть

проблемы могут возникнуть на оборот при попытке отразить его не по таблице
с использованием каких нибудь смещений и условных переходов на основе содержимого байта

на 8-ми процессорной реализации может появится разсинхронизация
на версии с широкими регистрами могут появится артефакты

- - - Добавлено - - -


! GFX_Z80
это все конечно хорошо

но ты раздуплил как там переключается фон??:v2_confu:

я так понимаю он еще не впилен

хотя без него тоже не плохо

Lethargeek
06.06.2017, 13:36
какая разница
аграмадная


тут теперь дополнительные широкие регистры
и с табличным отражением проблем как раз не может быть
только если арифметика послойно-независимая осталась
но тогда непонятно, как "дизайн" мог получиться "меньше в несколько раз"


проблемы могут возникнуть на оборот при попытке отразить его не по таблице
с использованием каких нибудь смещений
по смещению и значит через таблицу


и условных переходов на основе содержимого байта
с этим да, и у оригинального "дизайна" проблемы будут

NEO SPECTRUMAN
06.06.2017, 14:01
по смещению и значит через таблицу
какая проблема?
фактически єто те же 8(как бы не 9) z80 (только теперь в одном спектруме а не в восьми)
а вот исполнением кода и переходами теперь занимается только один из них

ld a,(hl)
так же приведет к чтению из 8 разных адресов
и результат ляжет в 8 разных регистров A

а вот последующее
rra
jp nc,nnnn
никакой spec256 уже не сможет нормально переварить например
на 8 спековой реализации начнутся всякие хвосты\галюны\gameover-ы на одном из спеков...
хотя игры которые привязаны к прерываниям возможно смогут придти в себя после такого
на реализации с широкими регистрами скорей всего со спрайтов будет сползать вся разукраска

- - - Добавлено - - -


по смещению и значит
хз как его обозвать по русске
я за rotate
деление\умножение на 2

Lethargeek
06.06.2017, 14:22
фактически єто те же 8 z80
повторю вопрос: за счёт чего тогда "дизайн стал в несколько раз меньше"
сомневаюсь, что такой эффект даст выпил только переходов и дешифраторов


ld a,(hl)
так же приведет к чтению из 8 разных адресов
и результат ляжет в 8 разных регистров A
так по тексту теперь байты соответствуют разным битам
а не биты независимых плоскостей

NEO SPECTRUMAN
06.06.2017, 14:35
повторю вопрос: за счёт чего тогда "дизайн стал в несколько раз меньше"
ага тоже интересно
(то что я описываю по идеи не должно дать многократное упрощение конструкции)

может он реализовал все и другим способом
и при табличном отражении как раз все данные о цвете и будут теряются

Lethargeek
06.06.2017, 15:08
"другим способом" без "взлома" как обойтись-то

NEO SPECTRUMAN
06.06.2017, 20:54
"другим способом" без "взлома" как обойтись-то
другим способом
это когда широкие регистры будут перемешиваться на основе выполненных команд только одного процессора
это будет жрать на порядок меньше ресурсов наверное
но вот табличное отражение уже не взлетит при этом
(если только не добавить костыль который будет пытаться оно определить и правильно обработывать)

...да и много еще чего должно совсем отвалится...

sliam
22.06.2017, 21:01
Здравствуйте, всем!
В полку Reverse прибыло :).
Собрал, ревизия С, запустилась. Вроде работает, но нет звука в HDMI.
Запускал NES, и Speccy256.
Это конфигурации, у которых уже есть готовый jic-файл. С остальными пока не разобрался.

Подскажите пожалуйста:
1. в какой конфигурации точно есть HDMI-звук или чем его еще проверить можно?
2. Если в конфигурации на гитхабе нет jic-файла, то проект нужно загружать в Квартус и его там компилироавать? так что-ли?
Жаль что во многих конфигурациях ничего не написано, как собирать.

3. Папка с названием rom, в каждой конфигурации почти есть. Там rom'ы и hex'ы. Что с ними делать?

С VNC разобрася, там все понятно. HEX шьем в vinculum.

Спасибо за ответы :).

PS За вопросы не пинайте. Такими вещами занимаюсь впервые. Но "врубаюсь" довольно быстро ;).

vlad
22.06.2017, 23:01
Вроде работает, но нет звука в HDMI.
Нет звука на чем? У NES и Spec256 звук должен быть.

Если в конфигурации на гитхабе нет jic-файла, то проект нужно загружать в Квартус и его там компилироавать? так что-ли?
Значит конфигурации тестовые с sof-файлом, его и заливай. Собрать jic-файл можно из sof, как это сделать описано в AN370.PDF (https://www.altera.com/content/dam/altera-www/global/en_US/pdfs/literature/an/an370.pdf)

sliam
23.06.2017, 18:57
Нет звука на чем? У NES и Spec256 звук должен быть.

Значит конфигурации тестовые с sof-файлом, его и заливай. Собрать jic-файл можно из sof, как это сделать описано в AN370.PDF

Влад, привет!
Спасибо за ответы.

У меня нет звука на мониторе подключенном к Reverse на конфигурациях NES и Speccy256.
Обычный комп, подключенный тем же HDMI кабелем передает звук на монитор нормально.
Где начинать копать?
Может ли буфер PCA9306 влиять на отсутствие звука?
Или если бы он не работал, то не было бы изображения вообще?

В остальном вроде понятно.

vlad
23.06.2017, 22:08
У меня нет звука на мониторе подключенном к Reverse на конфигурациях NES и Speccy256.
Попробуйте TS-Conf (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_tsconf)

Где начинать копать?
Что за модель монитора и ПЛИС на плате? Попробуйте тест (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_hdmi_test)

Может ли буфер PCA9306 влиять на отсутствие звука?
Нет, не может.

sliam
24.06.2017, 03:39
Попробуйте TS-Conf.

Что за модель монитора и ПЛИС на плате?


Тест HDMI работает в следующих режимах.

800*600*60Hz
1280*960*60Hz
1280*1024*60Hz

Картинка чистая, это на фотке разводы от съемки.


TS-conf запустил с переключением частоты 49/60Hz, с помощью кнопки PrtScr.
Все работает чудесно. Но звука нет.

ПЛИС EP4CE22E22C8N, монитор Medion Akoya MD20461 (24" 1080p)

61454 61455

Дмитрий2012
24.06.2017, 11:10
Все работает чудесно. Но звука нет.
HDMI Audio тест из этого поста не пробовали запускать ? http://zx-pk.ru/threads/23528-reverse-u16.html?p=862485&viewfull=1#post862485

sliam
24.06.2017, 11:35
HDMI Audio тест из этого поста не пробовали запускать ? http://zx-pk.ru/threads/23528-reverse-u16.html?p=862485&viewfull=1#post862485

Дмитрий, здравствуйте!
Спасибо за ответ.

Этот тест не запустился. Монитор пишет "Вне диапазона".
Видимо он у меня только 60Hz поддерживает и очень привередлив.

TS Conf я начинаю видеть когда нажимаю "PrintScreen" он переключает 49/60Hz.

Пока больше попробовать не на чем.
Один монитор и один кабель HDMI.



UPD Купил еще один кабель, на всякий случай >> звука нет.

vlad
24.06.2017, 21:33
Возможно не пропаяна одна из диф-пар или монитором не поддерживаются аудио-пакеты, нужно смотреть спецификацию на монитор и hdmi (http://www.microprocessor.org/HDMISpecification13a.pdf) (стр. 115)
audio sample rate = 48000Hz
CTS = 25200
N = 6144

packetHeader<=24'h0A0184; // infoframe audio packet
// Byte0: Checksum (256-(S%256))%256
// Byte1: 11 = (CT3:0=1 PCM)0(CC2:0=1 2ch)
// Byte2: 00 = 000(SF2:0=0 As stream)(SS1:0=0 As stream)
// Byte3: 00 = LPCM doesn't use this
// Byte4-5: 00 Multichannel only (>2ch)
subpacket[0]<=56'h00000000001160;
subpacket[1]<=56'h00000000000000;
Желательно вначале проверить плату с другим ТВ для выявления возможных дефектов пайки.

fikus8
24.06.2017, 23:00
Тоже месяца полтора назад наконец-то доделал rev.C. Но плотно пока не занимался. Запускал HDMI Test и TS-Conf.
Звук по HDMI идет. HDMI кабель - написано 1.4 :) Монитор - телик LG, дюйма 24, держит 49 и 60 Гц

sliam
25.06.2017, 00:12
Возможно не пропаяна одна из диф-пар или монитором не поддерживаются аудио-пакеты, нужно смотреть спецификацию на монитор и hdmi (http://www.microprocessor.org/HDMISpecification13a.pdf) (стр. 115)
audio sample rate = 48000Hz
CTS = 25200
N = 6144

packetHeader<=24'h0A0184; // infoframe audio packet
// Byte0: Checksum (256-(S%256))%256
// Byte1: 11 = (CT3:0=1 PCM)0(CC2:0=1 2ch)
// Byte2: 00 = 000(SF2:0=0 As stream)(SS1:0=0 As stream)
// Byte3: 00 = LPCM doesn't use this
// Byte4-5: 00 Multichannel only (>2ch)
subpacket[0]<=56'h00000000001160;
subpacket[1]<=56'h00000000000000;
Желательно вначале проверить плату с другим ТВ для выявления возможных дефектов пайки.

Влад, спасибо!
Я на следующей неделе перееду в другое место, типа на отдых, семья уже там.
Там есть старая Сонька Bravia с HDMI, мелкий на ней мультики смотрит.
Плату возьму с собой, попробую на Соньке запустить, погляжу что будет.
Плату проверял под микроскопом и разъем HDMI прозванивал весь, все звонится.

В общем, пока не проверю на другом телеке(мониторе) гадать особо нечего.


Тоже месяца полтора назад наконец-то доделал rev.C. Но плотно пока не занимался. Запускал HDMI Test и TS-Conf.
Звук по HDMI идет. HDMI кабель - написано 1.4 :) Монитор - телик LG, дюйма 24, держит 49 и 60 Гц

Мой монитор точно не поддерживает 49Гц.
Пентагон-2014 с SVGA-конвертером на нем тоже не работает.

vlad
10.07.2017, 21:59
Иллюстратор Andres Moncayo (http://www.andresmoncayo.com/about) прорисовал скриншоты знаменитых игр для NES в современном исполнении. via (http://www.andresmoncayo.com/painting-over-games)

https://pro2-bar-s3-cdn-cf6.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/787c956fb0d141370ebe36f5772651da7324fe4c08ce2da7dc 29593453fba92a111c8b470cbf2346_rw_1200.gif?h=47ad1 2f88bd4d992dd432f24d73062be

https://pro2-bar-s3-cdn-cf6.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/a2f21534c43ecaf0530414df6c2e6a4bfd14d3b6bf3673c672 993212cf230159835c15495f1211f5_rw_1200.gif?h=88659 0a5a8de5b291a295b84789d386c

https://pro2-bar-s3-cdn-cf2.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/37dea23c2e26aad58b1a2c9f124c5d621e90e6f55b45872063 56b4e91873cb96cead8be095fc6df0_rw_1200.gif?h=9e872 52fabf60bf441dfe70bed0c2e53

https://pro2-bar-s3-cdn-cf.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/89a2410fe939afb40fe3589f4e4b77af8f5afc8c8b0cd22185 d76f43ea464f041ca1071170cb5c59_rw_1200.gif?h=81268 3e2414dbca949bbfccea1cc3fce

https://pro2-bar-s3-cdn-cf6.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/adf3048301c729bc5c74ca6b440347ae0e2ba40408078a50c1 8190bdea6fddbb5227e3ca51a0a679_rw_1200.gif?h=a2ed0 8800b9e45c954b324d25697066c

https://pro2-bar-s3-cdn-cf4.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/578eae0b1494435ed89220ac749871e879be79a6c6fe08cecb b9db8cfdea8fe0d46d8a41aebc7cb4_rw_1200.gif?h=92644 3fb8a40bd1c7bcdb4e346a1bd5d

https://pro2-bar-s3-cdn-cf3.myportfolio.com/9a4013508a0a39d1a11011ebe367f605/e799711f37415aaddb6bf0f49a23b3774323c4bd5f2dd2579f 8d90340ce8434d962ce6af5e124372_rw_1200.gif?h=e7a3c c3913dee1933d98ec971846dfca

Интересно, если написать ему, согласится что-то раскрасить для Spec256?

NEO SPECTRUMAN
10.07.2017, 22:14
Интересно, если написать ему, согласится что-то раскрасить для Spec256?
ну там не такие безграничные возможности
и не так удобно
и целая куча работы...



ДАЙТЕ МНЕ ЭТОТ БАТЛТОДС!!!!!!!!:v2_dizzy_aaaaa:
лучше на какой нибудь снес конечно


duck hunt интересно получился

в принципе картинки не плохие

shurik-ua
10.07.2017, 23:18
в принципе картинки не плохие
небо и земля )

andykarpov
14.08.2017, 10:01
Кому интересно, адаптировал конфигурацию divgmx_speccy для платы Reverse-U16 (ревизии A и C).
https://github.com/andykarpov/speccy-u16
Собранные jic для обеих ревизий также присутствуют в репозитарии.

Из пока непонятного с этой конфигурацией:
1) Loader после чтения образов ПЗУ из SPI-флешки издает какой-то монотонный звук, пока не выйти из него по Enter
2) Непонятно, по какому стандарту сделана память, по какому стандарту сделан экран и начало/конец INT
3) Много пентагоновских демок и софта отказываются работать
4) Непонятно, как запустить DivMMC (F6, сброс ничего не дают, пробел + сброс вываливает меню Gluck), какой версии ESXDOS ?

vlad
31.08.2017, 22:38
Кто-то пробовал запускать проекты Arduino (http://www.nxlab.fer.hr/fpgarduino/#boards) на ReVerSE-U16 (https://github.com/f32c/f32c)? )

vlad
16.10.2017, 18:28
Spec256 для ReVerSE-U16 rev.C (сборка 20171015) (Скачать (https://github.com/mvvproject/ReVerSE-U16/raw/master/u16_spec256/u16c_spec256_20171015.zip))

https://github.com/mvvproject/ReVerSE-U16/raw/master/u16_spec256/pic1.jpg
http://www.youtube.com/watch?v=5JCH4aDUbvE

+ поддержка Gamepad "Game Master G2"
! bright 0 для бордюра
+ F1 = Joystick on/off

В планах:
+ добавить ещё расширенный графический режим, для возможности разворачивания 256-цветных игр с экраном 640х480, например:
http://retrospec.sgn.net/config/screenshots/alien8.f.img0.jpg http://retrospec.sgn.net/config/screenshots/cybernoid2.f.screen3.gif
https://upload.wikimedia.org/wikipedia/ru/6/66/Warcraft_2_screenshot.png https://static.giantbomb.com/uploads/original/0/1468/184087-starcraft.png
+ соответственно частота процессора будет увеличена в х8 раз (сейчас процессор работает на частоте 3.5МГц с режимом 256х192)

CodeMaster
16.10.2017, 20:28
256-цветных игр с экраном 640х480, например:

Сделать ПЦ что ли (судя по скриншотам)?

vlad
16.10.2017, 21:38
Сделать ПЦ что ли (судя по скриншотам)?
Смотрю на ZX Spectrum Next прикручивают разные слои и спрайты, чем не Dendy? Подумал, можно сделать ещё проще - 640х480 и 256 цветов, без всяких там аппаратных ускорителей, процессору даже особо напрягаться не придется, чтобы нарисовать графику лучше.

А ПЦ уже есть (Zet (https://github.com/mvvproject/ReVerSE-U16/tree/master/u16_zet/syn)).

bigral
16.10.2017, 22:45
Смотрю на ZX Spectrum Next прикручивают разные слои и спрайты, чем не Dendy?

Не DENDY тем что не по стандарту NES, а значит и интересно единицам. То что они там "понаприкручивают", пойдет лесом так же как gameduino...

Для развития FPGA платформ в стиле ретро-хобби имеют смысл 2 направления:
1) портирование\написание "100%-симуляторов" стандартных платформ (как целых аппаратов так и отдельных применяющихся в них СБИС-ов);
2) разработка, моделирование и отладка НОВОЙ схемотехники улучшающей реальные РЕТРО-платформы (для последующего переноса на 5-ти вольтовую электронику 80-х, и выпуска в виде новодельной платы);

Все остальное - тупиковые ветки; они годятся максимум для стеба и хохмы (это своего рода DEMO! техничное и бесполезное, интересное только тем кто практикуется в verilog-е; примеры: текстурированный куб и TSconf на ZX-evo, spec256 и quad-spectrum на reverse).

Эти выводы исходят из простого факта - весь интерес крутится вокруг хобби которое было популярно с начала 70х до средины 90х (время продуктов сделанных "в гараже"). По сути после 2000-го года общее развитие сделало электронику мощьной,дешевой и надежной, что в свою очередь убило малейший шанс в кустарных условиях разработать современный продукт. Китайский OrangePi, карманный android и домашний комп с windoz давно закрыли все ниши для таких монстриков типа retroleum.

vlad
16.10.2017, 23:37
давно закрыли все ниши
Согласен, остается только делать для своего хобби, а не массово... Важно то, что это основа всего, что нас окружает с электроники. На таких простых хобби-безделушках в основном все и учатся.

andykarpov
17.10.2017, 15:24
Кто-то пробовал запускать проекты Arduino на ReVerSE-U16? )

Как-то все сложно и дорого получается, если делать ардуину из FPGA. Разве что - just for fun ;)

Я пробовал с другого боку подойти - подключить Arduino к Reverse-U16.
Вот проект: https://github.com/andykarpov/spivga-u16

Arduino подключается к uBUS и позволяет:
- получить доступ к SD-карточке на Reverse
- получить доступ к SPI Flash
- получить доступ к клавиатуре
- отрисовывать простенький символьный экран 80x30 16 цветов
- все это завернуто в библиотечку для Arduino
- есть примеры использования

OrionExt
17.10.2017, 16:35
Это видимо совсем тоска афтора проекта (фпга-ардуино) заела=) такую хрень начинать.

Ему чего ардуино китайских уже мало. Да и посмотрел фпга все китайские.

emax73
14.12.2017, 12:29
Не могу запустить конфигурацию MSX -
сделал все, что написано в read.me,
в любых случаях - черный экран,
попробовал SD и SDHC карточки.
Из read.me непонятно, какую прошивку надо использовать для VNC.
Помогите советом, если кто сталкивался с черным экраном,

С Наилучшими Пожеланиями

vlad
20.12.2017, 01:00
TS-Conf (build 20171219) (скачать (https://github.com/mvvproject/ReVerSE-U16/raw/master/u16_tsconf/u16_tsconf_20171219.zip))
! исправлено, не работали демки Synchronization, Space Invaders vs. Mario

andykarpov
20.12.2017, 02:59
! исправлено
Влад, а будет ли выкладываться что-нибудь еще, кроме бинарных релизов?
Хотелось бы увидеть, что именно менялось, дабы внести соотв. коррективы в весь зоопарк форков :)

vlad
20.12.2017, 09:39
будет ли выкладываться что-нибудь еще, кроме бинарных релизов?
Да, как только выполню ряд тестов, и разберусь с причиной нестабильной работы мультиколора... Дабы не создавать тебе пустой работы по вносу коррективы во весь зоопарк форков.

Blade
20.12.2017, 18:58
Глянь маркировку FPGA и SPIFLASH, попробую собрать тебе конфиг.
EP4CE22E22I7N
25P16VP

psb
21.12.2017, 10:38
по последним неофициальным данным тс-конф 2.0 будет под У16. ура товарищи!

shurik-ua
21.12.2017, 12:31
Влад, помню ты один из первых (если не первый) заприметил FT81x и хотел её юзать - поэтому вопрос - будет ли от тебя когда-нибудь платка типа всё в одном - и 16бит сдрам и плюшки как в u16 (только самые востребованные) и эта FT ?

Mor-Da
21.12.2017, 14:01
Влад, помню ты один из первых (если не первый) заприметил FT81x и хотел её юзать - поэтому вопрос - будет ли от тебя когда-нибудь платка типа всё в одном - и 16бит сдрам и плюшки как в u16 (только самые востребованные) и эта FT ?Да, и еще юзается ARM как в MiST-е вместо VNC2.

shurik-ua
21.12.2017, 22:09
... да я вот хотел бы пощупать этот чип - может быть не делать новую плату, а подключить к имеющимся, пожертвовав чем то не особо популярным.

Totem
21.12.2017, 23:42
не понятно, что мешает, тем более , что года 2-3 его "щупают"

Totem
22.12.2017, 00:00
Вот как раз под твои хотелки, бери (http://forum.tslabs.info/viewtopic.php?f=29&t=142) щупай.

ну это истоки древние.

Alfishe
22.12.2017, 21:48
Да, и еще юзается ARM как в MiST-е вместо VNC2.

вот после этого - лучше сразу переходить на Cyclone V SoC, FBGA корпуса и забыть о самодельничестве =))

Mor-Da
22.12.2017, 22:21
Вот на FBGA моё самодельничество заканчивается.

Mor-Da
27.12.2017, 21:47
Курю VNC2, тяжело.

vlad
27.12.2017, 22:05
Курю VNC2, тяжело.
Давай тогда в курильню (http://forum.tslabs.info/viewtopic.php?f=31&p=28786#p28786) )

Mor-Da
28.12.2017, 06:56
Давай тогда в курильню )Та я уж тама.

dosikus
20.01.2018, 21:07
Реквестирую у обладателей ReversU16 ссылки на клавиатуры, джойстики , SD карты которые точно работают с сабжем.
Интересны , пока, тс-конфа и атари...

vlad
20.01.2018, 21:18
клавиатуры, джойстики, SD карты которые точно работают с сабжем
Перепись обладателей?

dosikus
20.01.2018, 22:41
Влад, да ну . Жалкая попытка сэкономить на поиске валидных девайсов.
Пока я начну сам кодить пройдет немало времени, а поиграть нужно сразу...

vlad
21.01.2018, 09:21
Жалкая попытка сэкономить на поиске валидных девайсов.
К плате подходит практически любая HID клавиатура, мышь и джойстик, а также microSD.
Для старых версий конфигураций нужна соответствующая прошивка VNC, т.е. если вы прошиваете новую сборку конфигурации TS-Conf, то прошейте и новую прошивку VNC.
На плате у вас два разъема USB HUB, подачей питания на верхний разъем управляется джампером, если установлен - питание подано.
В новой прошивке VNC светодиод мигает, если ничего не подключено в разъемы.

dosikus
24.01.2018, 08:52
vlad, в атари-конфе написано :


Please use:
- syn/atari800core_u16_epcs16_ntsc.jic - for FPGA programming (NTSC mode emulation)
- syn/atari800core_u16_epcs16_pal.jic - for FPGA programming (PAL mode emulation)

По факту только одна прошивка output_file.jic и похоже она в NTSC .

Dotoro
27.01.2018, 08:05
Кто-то может померять сколько потребляет Reverse-U16 в простое
и с ядром Синклера? Спасибо)

vlad
27.01.2018, 10:41
Кто-то может померять сколько потребляет Reverse-U16 в простое
и с ядром Синклера? Спасибо)
Так-как вопрос с уточнением, можно ссылку на это ядро Синклера, чтобы провести требуемые измерения? И что значит в простое?

Dotoro
27.01.2018, 13:34
Ну к примеру в биосе без запуска игрушки
и соответсвенно с запуском.

vlad
27.01.2018, 13:49
Ну к примеру в биосе без запуска игрушки
и соответсвенно с запуском.
А, ну да... Early Power Estimators (EPE) and Power Analyzer (https://www.altera.com/support/support-resources/operation-and-testing/power/pow-powerplay.html)

Dotoro
30.01.2018, 00:26
K4S281632I (TSOP54) - такая память подойдет?

Mor-Da
30.01.2018, 12:16
K4S281632I (TSOP54) - такая память подойдет?Ну лучше бы 16 метров, а тут только 8. По ножкам надо смотреть.

Dotoro
30.01.2018, 13:48
Распиновка совпадает с NT5SV16M16AT-75B (которая на фото готовой платы)
в даташите написано
128mbit, а организация 8M x 16
http://pdf1.alldatasheet.com/datasheet-pdf/view/347894/SAMSUNG/K4S281632I.html


Ну лучше бы 16 метров, а тут только 8. По ножкам надо смотреть.

dosikus
21.02.2018, 15:38
vlad, как вообще можно проверить джойстик на тс-конфе? Или нужно что-то нажимать?
Не работает.
Купил такой https://ru.aliexpress.com/item/Data-Frog-2017-Retro-Wired-USB-Controller-Gaming-Joypad-Joystick-For-SNES-Style-For-PC-Window/32791929250.html?spm=a2g0s.9042311.0.0.3ehgts

vlad
21.02.2018, 22:39
как вообще можно проверить джойстик на тс-конфе? Или нужно что-то нажимать?
Чтобы не заморачиваться, пользуюсь всем заявленным из коробки "Defender Game Master G2", ибо писать софт нынче стало не тепло-лампово, нет программистов здесь к сожалению, словом вообще. Так что, хз что делать.

alexsmg
22.07.2018, 05:32
Не так давно собрал себе Reverse rev.A. Поставил память MT48LC32M16A2-75, купленную, за недорого, на ALI. Все конфигурации не пробовал, но во всяком случае tsconf и speccy работали часами. Решил поставить ZET. Он нормально стартанул, но секунд через 30-ть после включения, на экране хаотически стал появляться снег и чем дальше тем сильнее. Минуты 2-3 и девайс зависал. Решил потестить память Checkitom. При первом-втором цикле, сразу после включения, тест проходил, потом начинали сыпаться хаотические ошибки. Все это сопровождалось снегом на экране. Предположил, что в этой конфигурации, глючит память. Почему? Наверное, она в разных конфигурациях, работает на разной частоте? Сдул с плашки памяти чип NT5SV16M16AT-75B, запаял, включил, болезнь ушла. Может кому пригодится. Не вся память одинаково полезна.

Dotoro
22.07.2018, 12:45
На EP4CE6 какие корки влезут? У него всего 6к логических элементов,
кроме Спекки ничего не ожидать?

fifan
22.07.2018, 15:35
На EP4CE6 какие корки влезут? У него всего 6к логических элементов,
кроме Спекки ничего не ожидать?

Я написал на нём Специалист, перехожу на Специалист МХ. Причём пока всё ПЗУ влезло в плисину, ОЗУ на 64 кБайт внешнее.

emax73
08.09.2018, 12:51
На http://caro.su/msx/ocm_de1.htm доступна новая версия One Chip MSX от 18.04.2018,
никак не могу запустить MSX порт на ReVerSE U16 - все время черный экран

vlad
08.09.2018, 15:50
никак не могу запустить MSX порт
У меня запускался только с SD <= 2GB

emax73
08.09.2018, 17:24
К сожалению, не получилось, все-равно черный экран,
карточка просто SD 2GB FAT16, делал все по инструкции из GitHub

caro
12.09.2018, 16:11
У меня запускался только с SD <= 2GB
В новой версии реализована загрузка и работа с карт памяти не только MMC и SD,
но и SDHC, тоесть больше чем 2 Gb обьемом.

emax73
12.09.2018, 19:11
По видимому, порт MSX на ReVerSE-U16 не совместим с моим рабочим монитором

Asus PA246
Timing characteristics
Horizontal scan range.... 30-83kHz
Vertical scan range...... 50-76Hz
Video bandwidth.......... 170MHz
CVT standard............. Not supported
GTF standard............. Not supported
Additional descriptors... None
Preferred timing......... Yes
Native/preferred timing.. 1920x1200p at 60Hz
Modeline............... "1920x1200" 154.000 1920 1968 2000 2080 1200 1203 1209 1235 +hsync -vsync

Standard timings supported
720 x 400p at 70Hz - IBM VGA
640 x 480p at 60Hz - IBM VGA
640 x 480p at 67Hz - Apple Mac II
640 x 480p at 72Hz - VESA
640 x 480p at 75Hz - VESA
800 x 600p at 56Hz - VESA
800 x 600p at 60Hz - VESA
800 x 600p at 72Hz - VESA
800 x 600p at 75Hz - VESA
832 x 624p at 75Hz - Apple Mac II
1024 x 768p at 60Hz - VESA
1024 x 768p at 70Hz - VESA
1024 x 768p at 75Hz - VESA
1280 x 1024p at 75Hz - VESA
1152 x 864p at 75Hz - VESA STD
1280 x 1024p at 60Hz - VESA STD
1280 x 960p at 60Hz - VESA STD
1440 x 900p at 60Hz - VESA STD
1600 x 1200p at 60Hz - VESA STD
1680 x 1050p at 60Hz - VESA STD
1920 x 1080p at 60Hz - VESA STD

tank-uk
24.10.2018, 08:27
Hi all !!!

кто нибудь пробовал эти https://ru.aliexpress.com/item/2-USB/32910970334.html?spm=a2g0v.search0204.3.18.72c2115 1uIPZgf&transAbTest=ae803_4&ws_ab_test=searchweb0_0%2Csearchweb201602_4_10065_ 5024915_10068_318_319_317_10696_450_10084_10083_10 618_452_5723515_535_534_533_10307_532_204_10059_57 28615_10884_323_10887_100031_320_321_322_5728515_1 0103_448_449%2Csearchweb201603_35%2CppcSwitch_0_pp cChannel&algo_pvid=82b2a7d6-056a-4041-8c33-21a3662e7801&algo_expid=82b2a7d6-056a-4041-8c33-21a3662e7801-2 будут жить хотябы на NES конфе ?

vlad
24.10.2018, 09:06
Подойдет любой USB джойстик, нужно только доработать драйвер под его протокол и VID/PID. Также можно сделать меню, как в MiST для возможности загрузки конфигураций с SD или FLASH, но делать здесь некому. Есть проект, позволяющий U16 или DivGMX выполнять скетчи Arduino для нескольких МК...

tank-uk
28.10.2018, 15:57
Вопрос по корке ZET
перепиновал сорц под REV.C. скомпилил, добавил биосы, пролил конфу, прошил VNC
первый раз корка запустилась и сказала "нет устройства в SD, system halted"
развернул образ на 2гБ SDешку, а корка теперь после определения карты останавливается, без карты тоже останавливается еще до строки "halted"
что не так ???

tank-uk
28.10.2018, 19:16
Отвечу сам на свой вопрос, перед заливкой корки ZET в квартусе нужно сделать erase flash, ща даже грузится MS-DOS, но клава не работает
в VNC пролита USBHostHID2.rom , клава SVEN Standart 301USB

- - - Добавлено - - -

vlad, как то была упомянута TS-Config2 , для которой нужна SDRAM 60nS, где ее поюзать ? или на гите от 25 июня 2018 она и есть ?

tank-uk
28.10.2018, 20:25
vlad, а ZET корка будет полноценно работать ? у меня на клаву не реагирует совсем

Копейкин
28.10.2018, 22:45
При подключении клавиатуры, если она распознана VNC, должен светодиод загораться, если я правильно помню.
А какую флэшь нужно квартусом чистить?

tank-uk
29.10.2018, 00:16
А какую флэшь нужно квартусом чистить?
spi, квартус при прошивке перешивает блоки, по этому сначала нужно очистить флеху, а потом проливать конфу

Dotoro
29.10.2018, 20:34
Отвечу сам на свой вопрос, перед заливкой корки ZET в квартусе нужно сделать erase flash, ща даже грузится MS-DOS, но клава не работает
в VNC пролита USBHostHID2.rom , клава SVEN Standart 301USB

- - - Добавлено - - -

vlad, как то была упомянута TS-Config2 , для которой нужна SDRAM 60nS, где ее поюзать ? или на гите от 25 июня 2018 она и есть ?

Можно небольшой сравнительный анализ по ZET в сравнении с next80186? что работает что нет итд.

tank-uk
30.10.2018, 10:17
по этому сначала нужно очистить флеху
Уточнение, в подсказке отсюда https://github.com/mvvproject/ReVerSE-U16/blob/master/u16_zet/flash/JIC.JPG указано расположение sof при сборке jic "AUTO", а нужно ставить 0х000000 иначе sof прописывается в свободный блок, а с 0 стартует ранее записаная корка

- - - Добавлено - - -


ZET в сравнении с next80186
как минимум на Prince нет артефактов под изо, эмуль 286 пока не запускал, по этому о Wolf3D пока ни чего не скажу

tank-uk
01.11.2018, 10:04
ZET в сравнении с next80186

в общем запустилась более и менее корка на REV.C
основная проблема в работе памяти, а именно память 3.3в, а уровни в корке стоят 2.5в
из-за этого корка работает крайне не стабильно, виснет , артефачит и т.д.
пересобрать на 3.3в просто так не получается, во всем виноват блок HDMI
он сделан по упрощенной схеме DVI
удалось собрать частично 3.3в и 2.5в по блокам vref, и более менее стабильно заработало
и да, ядро очень сильно устаревшее, эмулит NEC V20 на 44 мГц, VGA эмулируется не полностью, CGA нет вообще
Wolf3D-XT не запускается, выдает не совместимый режим VGA, Prince работает без нареканий, кроме звука
по звуку это вообще отдельный вопрос, PC-Speaker работает коряво, ни тенди , ни адлиба, ни бластера в корке нет
присутствует только какой то 1бит стерео звук , которій ни где не поддержан
по ємуляции диска, партиции больше 60мБ не создаются, карточка на 2.5в то же ведет себя не стабильно
вот скррины из чекита на UNO VGA 2M и Reverse-U16 Rev.C

Верхние ZET на Reverse-U16 Rev.C

Нижние Next186 на UNO VGA 2M

66793 66794
66795 66796

vlad
01.11.2018, 10:47
tank-uk, замени блок HDMI на новый (200LE's), там с уровнями всё в порядке. ZET уже не обновлялся года 4, смысл его сравнивать )
Смотрю, ещё никто не смог сделать OSD и загрузчик файлов и конфигураций для VNC2, тогда можно было-бы портировать почти все конфиги с MIST и MISTer.

sliam
13.11.2018, 00:32
Hi all !!!

кто нибудь пробовал эти https://ru.aliexpress.com/item/2-USB/32910970334.html?spm=a2g0v.search0204.3.18.72c2115 1uIPZgf&transAbTest=ae803_4&ws_ab_test=searchweb0_0%2Csearchweb201602_4_10065_ 5024915_10068_318_319_317_10696_450_10084_10083_10 618_452_5723515_535_534_533_10307_532_204_10059_57 28615_10884_323_10887_100031_320_321_322_5728515_1 0103_448_449%2Csearchweb201603_35%2CppcSwitch_0_pp cChannel&algo_pvid=82b2a7d6-056a-4041-8c33-21a3662e7801&algo_expid=82b2a7d6-056a-4041-8c33-21a3662e7801-2 будут жить хотябы на NES конфе ?

Привет!
Только сегодня получил такие.
В NES работают отлично.
START и SELECT находятся под указательными пальцами.
Кнопки которые на джойстике в центре работают как сброс и вызов меню конфигурации NES.

Дети рубились в танчики весь вечер, ор в квартире стоял жуткий!!! :)

2 Vlad:
Может быть их (джойстики) добавить в описание конфигурации NES как поддерживаемые. Чтобы вопросов не возникало.
Экспериментировать с покупкой джойстиков не очень интересно. А Defender слишком навороченный вариант.

tank-uk
13.11.2018, 10:01
START и SELECT находятся под указательными пальцами.
Кнопки которые на джойстике в центре работают как сброс и вызов меню конфигурации NES.

кнопки можно переконфигурить пересобрав конфу, за одно и ромы влить не все подрат , а только нужные

sliam
13.11.2018, 10:44
кнопки можно переконфигурить пересобрав конфу, за одно и ромы влить не все подрат , а только нужные

Да оно наоборот удобнее. Т.к. если сброс будет на клавише под указательным пальцем, его можно случайно нажать в процессе "рубилова" :).
А так, максимум "Паузу" нажмешь :).

djspawn
04.10.2019, 09:01
Доброго дня всем, пытался прошить Zet, развернул img на сд карточку, прошил vnc2, cyclone, после прошивки - черный экран, может я что-то не так делаю?)

tank-uk
07.10.2019, 09:18
может я что-то не так делаю?)
для начала перепиновать под конкретную ревизию

djspawn
08.10.2019, 10:00
ревизия C, но как это сделать?)

Копейкин
09.10.2019, 12:30
Установить QuartusII. Открыть им проект прошивки. В меню Assigments/Pins назначить выводы микросхемы согласно схемы эл. принципиальной вашей ревизии платы.

djspawn
10.10.2019, 10:08
Установить QuartusII. Открыть им проект прошивки. В меню Assigments/Pins назначить выводы микросхемы согласно схемы эл. принципиальной вашей ревизии платы.

Установил Quartus, все перепиновал, собрал, однако после прошивки моник пишет не сигнала... В чем может быть дело?

Может есть у кого рабочая zet прошивка под ревизию C?

tank-uk
10.10.2019, 11:58
Может есть у кого рабочая zet прошивка под ревизию C?
вечером помотрю, вроде есть собранная

Копейкин
10.10.2019, 12:17
Я делал для другой ревизии, чип EP3C и вообще вытаскивал из проекта сигналы VGA. Остановился на невозможности загрузки ОС с sd-карты.

tank-uk
11.10.2019, 11:35
Я делал для другой ревизии, чип EP3C и вообще вытаскивал из проекта сигналы VGA. Остановился на невозможности загрузки ОС с sd-карты.
небыло времени порыться, но у меня загружалась, хотя немного косячила из-за уровней 2.5в на памяти

Копейкин
11.10.2019, 13:17
Если не затруднит, скажите - какую карточку использовал?

djspawn
11.10.2019, 21:05
sd 2gb

tank-uk
12.10.2019, 08:36
вот откопал корку , которую перепиновывал под Rev.C и подгонял уровни для работоспособности

фигня какая то на форум не грузится, залил на Я-Диск https://yadi.sk/d/jg3K1i3bqqJelQ

djspawn
14.10.2019, 10:35
вот откопал корку , которую перепиновывал под Rev.C и подгонял уровни для работоспособности

фигня какая то на форум не грузится, залил на Я-Диск https://yadi.sk/d/jg3K1i3bqqJelQ

Да, последнее время работает плохо форум. Спасибо, буду дома - проверю и отпишу

dosikus
14.11.2019, 11:55
vlad, как вообще можно проверить джойстик на тс-конфе? Или нужно что-то нажимать?
Не работает.
Купил такой https://ru.aliexpress.com/item/Data-Frog-2017-Retro-Wired-USB-Controller-Gaming-Joypad-Joystick-For-SNES-Style-For-PC-Window/32791929250.html?spm=a2g0s.9042311.0.0.3ehgts

Спрашивается и на фига спрашивал, если в ts-conf на реверс_у16 джойстик выпилен на?

delta_brest
29.02.2020, 20:12
подскжаите, если dev board "DE2-115". Есть ли возможность прошить туда этот reverse? Если да то как? года два назад ковырялся, но все из головы вылетело.

djspawn
16.03.2020, 09:05
Спрашивается и на фига спрашивал, если в ts-conf на реверс_у16 джойстик выпилен на?

Да, посмотрел по коду - действительно был выпилен!) Я то себе запилил джойстик, правда вот эту модельку (https://qp-52.ru/catalog/computers/gamepads_joysticks/231_189674_gp-a11rf_dialog_action.html), но и любую другую - не трудно сделать)

deserializer.vhd
после
architecture rtl of deserializer is добавить


--joystick
signal lr, ud : std_logic_vector(7 downto 0);
signal jdir : std_logic_vector(3 downto 0);
signal j1, j2, j3, j4, j5, j6, j7, j8, j9, j10, j11, j12 : std_logic;


найти эту строку


when x"6" =>

заменить на эту


when x"6" | x"4" => -- Keyboard & Joystick


Далее найти на каких байтах и битах джойстика какая кнопка висит и по аналогии можно запилить джой
Для Dialog GP-A11RF у меня получился такой код


when x"04" | x"84" => -- HID Gamepad DIALOG GP-A11RF
-- Input report - 8 bytes
-- Byte | D7 D6 D5 D4 D3 D2 D1 D0
-- ------+---------------------------------------------------------------------
-- 1 |nLeft Right 0 0 0 0 0 0
-- 2 | nUp Down 0 0 0 0 0 0
-- 3 | 0 0 0 0 0 0 0 0
-- 4 | 0 0 0 0 0 0 0 0
-- 5 | (4) (3) (2) (1) [ ANALOG DIRECTION BUTTONS ]
-- 6 | (12) (11) (10) (9) (8) (7) (6) (5)
-- 7 | 0 0 0 0 0 0 0 0
-- 8 | 0 0 0 0 0 0 0 0

case count is


when 1 =>
lr <= data;
when 2 =>
ud <= data;
when 5 =>
jdir <= data(3 downto 0);
j1 <= data(4);
j2 <= data(5);
j3 <= data(6);
j4 <= data(7);
when 6 =>
j5 <= data(0);
j6 <= data(1);
j7 <= data(2);
j8 <= data(3);
j9 <= data(4);
j10 <= data(5);
j11 <= data(6);
j12 <= data(7);
when others => null;
end case;

case lr is
when x"00" => keys(0)(0) <= '0'; keys(3)(4) <= '0'; scancode <= x"6b"; -- (L) Left
when x"FF" => keys(0)(0) <= '0'; keys(4)(2) <= '0'; scancode <= x"74"; -- (R) Right
when others => null;
end case;

case ud is
when x"00" => keys(0)(0) <= '0'; keys(4)(3) <= '0'; scancode <= x"75"; -- (U) Up
when x"FF" => keys(0)(0) <= '0'; keys(4)(4) <= '0'; scancode <= x"72"; -- (D) Down
when others => null;
end case;

case jdir is
when x"0" => keys(2)(0) <= '0'; scancode <= x"15"; -- (aUp) Q
when x"2" => keys(5)(0) <= '0'; scancode <= x"4d"; -- (aRight) P
when x"4" => keys(1)(0) <= '0'; scancode <= x"1c"; -- (aDown) A
when x"6" => keys(5)(1) <= '0'; scancode <= x"44"; -- (aLeft) O
when x"1" => keys(2)(0) <= '0'; keys(5)(0) <= '0'; scancode <= x"15"; scancode <= x"4d"; -- (aUp-aRight) Q-P
when x"3" => keys(1)(0) <= '0'; keys(5)(0) <= '0'; scancode <= x"1c"; scancode <= x"4d"; -- (aDown-aRight) A-P
when x"5" => keys(1)(0) <= '0'; keys(5)(1) <= '0'; scancode <= x"1c"; scancode <= x"44"; -- (aDown-aLeft) A-O
when x"7" => keys(2)(0) <= '0'; keys(5)(1) <= '0'; scancode <= x"15"; scancode <= x"44"; -- (aUp-aLeft) Q-O
when others => null;
end case;

if j1 = '1' then keys(3)(0) <= '0'; scancode <= x"16"; end if; -- (1) 1
if j2 = '1' then keys(3)(1) <= '0'; scancode <= x"1e"; end if; -- (2) 2
if j3 = '1' then keys(7)(0) <= '0'; scancode <= x"29"; end if; -- (3) Space
if j4 = '1' then keys(3)(2) <= '0'; scancode <= x"26"; end if; -- (4) 3
if j5 = '1' then keys(0)(0) <= '0'; scancode <= X"12"; end if; -- (5) LShift
if j6 = '1' then scancode <= x"76"; end if; -- (6) ESC
if j7 = '1' then keys(9)(0) <= '1'; scancode <= x"07"; end if; -- (7) F12 (RESET)
if j8 = '1' then keys(0)(0) <= '0'; keys(7)(0) <= '0'; scancode <= x"0d"; end if; -- (8) TAB
if j9 = '1' then keys(2)(3) <= '0'; scancode <= x"2d"; end if; -- (9) R
if j10 = '1' then keys(6)(0) <= '0'; scancode <= x"5a"; end if; -- (10) ENTER
if j11 = '1' then keys(7)(1) <= '0'; scancode <= x"14"; end if; -- (11) LCtrl
if j12 = '1' then keys(9)(1) <= '1'; scancode <= x"78"; end if; -- (12) F11 (NMI)