Вход

Просмотр полной версии : Клон ZX-Spectrum на плате от игрового автомата



Страницы : 1 [2]

Mick
31.10.2015, 13:34
Вот и нет, там чипов старше. :) Попробуем поставить древней версию.

Ну тогда ставь постарше. Ты по объему смотри, те которые объемистые, те наиболее полные.



Кстати, почему для 3000, ты "максимку" пользуешь и AHDL?
В квартусе пинпланер человеческий, откомпилял в квартусе. С кучей варнингов, ячеек 157, пинов 100.
Не хотел компиляться, ругался на TRI_STATE_NODE.

А просто у меня Зефирка в Максе написана, а этот код считай пол Зефирки.
Да и AHDL в Кактусе что то мне не понравился, такое ощущение что его там особо не жаловали. А на VHDL это же надо голову включать что и как :)
Так что пока максимка рулит :)

Totem
31.10.2015, 15:14
Ну тогда ставь постарше. Ты по объему смотри, те которые объемистые, те наиболее полные.



А просто у меня Зефирка в Максе написана, а этот код считай пол Зефирки.
Да и AHDL в Кактусе что то мне не понравился, такое ощущение что его там особо не жаловали. А на VHDL это же надо голову включать что и как :)
Так что пока максимка рулит :)

точно про зефирку я позабыл, укачал с альтеры 2002.06 релиз.
картина не меняется. Раньше макс юзал только для 7000. в 8452 нет JTAG :(
где собако окопалась не понимаю. :v2_dizzy_newspaper:

Mick
31.10.2015, 16:49
точно про зефирку я позабыл, укачал с альтеры 2002.06 релиз.
картина не меняется. Раньше макс юзал только для 7000. в 8452 нет JTAG :(
где собако окопалась не понимаю. :v2_dizzy_newspaper:

У FLEX8000 JTAG есть, но он совсем другой в отличии от того же MAX7000.
Так что считай его нет.

Ну не знаю в моей версии есть все FLEX8000
А вот еще сделай что, наверное может из за этого.

Короче зайди в файл maxplu2.ini
Найди строку FULL_VERSION=
Там наверяка у тебя стоит OFF, поставь на ON и сохранись. Запусти снова макс и будет я думаю тебе счастье :)

Totem
31.10.2015, 20:37
У FLEX8000 JTAG есть, но он совсем другой в отличии от того же MAX7000.
Так что считай его нет.

Ну не знаю в моей версии есть все FLEX8000
А вот еще сделай что, наверное может из за этого.

Короче зайди в файл maxplu2.ini
Найди строку FULL_VERSION=
Там наверяка у тебя стоит OFF, поставь на ON и сохранись. Запусти снова макс и будет я думаю тебе счастье :)

не счастья нетуть :v2_dizzy_no:

Mick
31.10.2015, 21:47
не счастья нетуть :v2_dizzy_no:

Ну ты блин, не верю - скриншот в студию.
Ты что устанавливал?
baseline10_1 или baseline10_2

---------- Post added at 21:47 ---------- Previous post was at 21:34 ----------

Вот, заархивировал свой макс, может поможет.


Потом удалю

https://yadi.sk/d/Q_lSUdDQk9Tnh

Mick
01.11.2015, 08:14
Вчерась пришла плюшка из китайского радиомагазина :)

Сначала я думал сюда их применить для пущей правильности, но потом решил что смысла нет. Это же девборда. В любом случае я еще попробую заказать генератор на 14МГц, так сказать на будущее.

http://i056.radikal.ru/1511/a8/7c4fdfa2021ft.jpg (http://radikal.ru/f/i056.radikal.ru/1511/a8/7c4fdfa2021ft.jpg)

По проекту, вроде вчера закончил писанину клавы под PIC16F88, на стенде вроде как все работало, а вставил в девборду - что то опять какие то ряды клавиш отвалились. Видимо что то не досмотрел. Буду в понедельник смотреть что там не так.

Totem
01.11.2015, 16:23
Хе хе у меня точно такая плюшка лежит :)
правда на половину "скушенная"

В твоей версии есть все чипы.

эту я с трэкера брал, очень давно. Там их нет.
http://pixs.ru/showimage/max1jpg_5069047_19345700.jpg

Mick
02.11.2015, 11:24
Плюшевый беспредельщик продолжает свой репортаж :)
Поборол прошивку PICа, теперь клава на нем рулит. Пикуша сел туда как родной, как будто бы так все и было :)

http://i058.radikal.ru/1511/c3/400dbfbb790ct.jpg (http://radikal.ru/f/i058.radikal.ru/1511/c3/400dbfbb790ct.jpg)

http://s020.radikal.ru/i719/1511/3c/578325d1db78t.jpg (http://radikal.ru/f/s020.radikal.ru/i719/1511/3c/578325d1db78t.jpg)

Фото кликабельно.

В клаве раскладка по caro. Изменил только то, что турба сидит на Scroll Lock.
По включению турба всегда активна.
На данном этапе почти все, осталось сварганить-сшить мгтфом шину Nemo-Bus в упрощенном виде.

Totem
02.11.2015, 12:19
Плюшевый беспредельщик продолжает свой репортаж :)
Поборол прошивку PICа, теперь клава на нем рулит. Пикуша сел туда как родной, как будто бы так все и было :)

http://i058.radikal.ru/1511/c3/400dbfbb790ct.jpg (http://radikal.ru/f/i058.radikal.ru/1511/c3/400dbfbb790ct.jpg)

http://s020.radikal.ru/i719/1511/3c/578325d1db78t.jpg (http://radikal.ru/f/s020.radikal.ru/i719/1511/3c/578325d1db78t.jpg)

Фото кликабельно.

В клаве раскладка по caro. Изменил только то, что турба сидит на Scroll Lock.
По включению турба всегда активна.
На данном этапе почти все, осталось сварганить-сшить мгтфом шину Nemo-Bus в упрощенном виде.
динамик в стиле соседи вешайтесь :)
у меня штук 10-12 валяется 3256-144 и камней в qfp , памяти 512kb есть.
можно нарисовать клонека:)

Mick
02.11.2015, 12:52
у меня штук 10-12 валяется 3256-144 и камней в qfp , памяти 512kb есть.
можно нарисовать клонека:)

Клонека можешь нарисовать, а мы посмотрим :) А можешь просто сделать по мотивам Speccy 2007 :)

Ewgeny7
02.11.2015, 15:17
А можешь просто сделать по мотивам Speccy 2007
Бродила такая же мысля, но добродила до того, что смысла в этом нет. Камушек 3256 - толстоват для спекки-2007. И тесноват для "просто клона без AVR". Ни то, ни сё... Ну да ты это уже прошел :)

---------- Post added at 15:17 ---------- Previous post was at 15:15 ----------

Единственно, было бы офигенски здорово применить эту 3256-TQFP, Z-80 QFP, ROM PLCC, ATmega32 QFP и RAM TSSOP для создания микроскопического Спекки-2007 :)

Mick
02.11.2015, 15:20
Бродила такая же мысля, но добродила до того, что смысла в этом нет. Камушек 3256 - толстоват для спекки-2007.
Ну как бы никто не запрещает наедрить Speccy 2007 новым функционалом.
Расширить память, прилепить поллитра. Было бы желание и интерес творить.

Totem
02.11.2015, 15:20
Бродила такая же мысля, но добродила до того, что смысла в этом нет. Камушек 3256 - толстоват для спекки-2007. И тесноват для "просто клона без AVR". Ни то, ни сё... Ну да ты это уже прошел :)

---------- Post added at 15:17 ---------- Previous post was at 15:15 ----------

Единственно, было бы офигенски здорово применить эту 3256-TQFP, Z-80 QFP, ROM PLCC, ATmega32 QFP и RAM TSSOP для создания микроскопического Спекки-2007 :)

да это все есть. :) я тебе говорил ужо, на 4 слоя? :) чтоб совсем :) кроха:)

Mick
02.11.2015, 15:21
Единственно, было бы офигенски здорово применить эту 3256-TQFP, Z-80 QFP, ROM PLCC, ATmega32 QFP и RAM TSSOP для создания микроскопического Спекки-2007 :)

Дык кто вам мешает это сделать. ;)

Ewgeny7
02.11.2015, 15:26
Дык кто вам мешает это сделать.
Никто, честно говоря... :)

---------- Post added at 15:25 ---------- Previous post was at 15:24 ----------


я тебе говорил ужо, на 4 слоя?
Это реальный беспредел, нам с медведем туговато такое :)

---------- Post added at 15:26 ---------- Previous post was at 15:25 ----------


чтоб совсем кроха
Спичечный коробок, йа? Ладно, сигаретная пачка :)

Totem
02.11.2015, 15:36
Никто, честно говоря... :)

---------- Post added at 15:25 ---------- Previous post was at 15:24 ----------


Это реальный беспредел, нам с медведем туговато такое :)
было дело я лутом делал маленькую платку 5x5 текстолит 0,8 мм, 3 слоя
получилось. даже работало хаха:) возни с шаблонами было ппц.

да в 2 потянет нормально. вопрос, кому еще надо? я и лутом сделаю без проблем, а фабрике кятайской 5 штук минимум надоть.

Mick
02.11.2015, 15:37
Были бы доступны ПЛИС от Atmel c AVR внутри, серия AT94K. Тогда вообще жесть была бы. Но мы пока и на альтерках поиграемся :) Вот на пикушке клаву зацепил, еще мышь бы. А ведь еще есть кучка x51 микроконтроллеров. Так что еще считай не паханное поле по созданию клонов Спекки :)

Totem
02.11.2015, 15:43
Спичечный коробок, йа? Ладно, сигаретная пачка
а AY SAA PAL кодер? можно конечно попробовать уложиться в 6-8 , но уже ппцц, как сташно. :)
Вот фром фактор Vega и идея руления кнопами самое оно. ZST вроде джой делал крутой?
вообще нужен беспроводной джой или клава . а на зефирке USB есть :) правда Михаил? :) скучает стоит.

Mick
02.11.2015, 15:50
вообще нужен беспроводной джой или клава . а на зефирке USB есть :) правда Михаил? :) скучает стоит.

Ну никто не мешает сесть и написать. Я даже спасибо скажу. ;)

Totem
02.11.2015, 16:15
Ну никто не мешает сесть и написать. Я даже спасибо скажу. ;)
Не не хозяин барин :) синей зефирки у меня нет:).
Такие Atmel, готовить не умеем, это опять ночами с даташитом сидеть:)
Ладно:) это все полемика.
Поздравляю всех с моим ДР, пошел праздновать чутка.
:v2_dizzy_champagne: :v2_dizzy_vodka3::v2_dizzy_vodka::v2_dizzy_vodka4: :v2_dizzy_step::v2_dizzy_fisher:

Ewgeny7
02.11.2015, 16:21
Поздравляю всех с моим ДР, пошел праздновать чутка.
Вот ведь блин... И когда только успел??? :)
С праздником, дружище! Всех добрых благ тебе! :)

Mick
02.11.2015, 16:45
Не не хозяин барин :) синей зефирки у меня нет:).
Такие Atmel, готовить не умеем, это опять ночами с даташитом сидеть:)
Ладно:) это все полемика.
Поздравляю всех с моим ДР, пошел праздновать чутка.
:v2_dizzy_champagne: :v2_dizzy_vodka3::v2_dizzy_vodka::v2_dizzy_vodka4: :v2_dizzy_step::v2_dizzy_fisher:

Во первых с днюхой. :v2_dizzy_champagne:
То то я смотрю ты какой разговорчивый сегодня :)

По поводу меня, бывает моих знаний не хватает и в основном я творю только в рабочее время, когда есть паузы. Разберусь и с USB AVRки, мне самому оно интересно.
Но сначала надо чтобы можно было с самого компа (Зефирки) обновлять прошивки. А это как минимум нужно накатать две проги, одну чтобы флешатину конфы обновлять, а вторую чтобы еще и конфу AVRки обновлять. Если бы кто то помогал, тогда все быстрее шло.
Ну и меня развели тут как лоха, взяли на слабо - можно ли слобать клон Спекки на базе игровой платы. Вот и влип :)
Но ничего не проходит бесследно.
Вспомнил как програмки на PIC пишутся, а во вторых на AHDL матрицу клавы реализовал. Клава тут безвайтовая :v2_dizzy_angry:

Totem
02.11.2015, 18:59
Во первых с днюхой. :v2_dizzy_champagne:
То то я смотрю ты какой разговорчивый сегодня :)

По поводу меня, бывает моих знаний не хватает и в основном я творю только в рабочее время, когда есть паузы. Разберусь и с USB AVRки, мне самому оно интересно.
Но сначала надо чтобы можно было с самого компа (Зефирки) обновлять прошивки. А это как минимум нужно накатать две проги, одну чтобы флешатину конфы обновлять, а вторую чтобы еще и конфу AVRки обновлять. Если бы кто то помогал, тогда все быстрее шло.
Ну и меня развели тут как лоха, взяли на слабо - можно ли слобать клон Спекки на базе игровой платы. Вот и влип :)
Но ничего не проходит бесследно.
Вспомнил как програмки на PIC пишутся, а во вторых на AHDL матрицу клавы реализовал. Клава тут безвайтовая :v2_dizzy_angry:
Спасибо.
Просто я на больничном был, ангина страшенная, выписали сегодня, заметь разговорчивый потому как настроение есть, а принял я 50 грамм
только сейчас:)
Так всегда планов грамодье, а время нет, еще мелкая его сжирает тоннами:) Все знать не возможно, но желательно:) с этим тоже проблема:)

---------- Post added at 18:59 ---------- Previous post was at 18:58 ----------


Вот ведь блин... И когда только успел??? :)
С праздником, дружище! Всех добрых благ тебе! :)

Спасибо, взаимно:)

Ewgeny7
02.11.2015, 20:44
А я тоже сегодня завершил давно тянувшийся проектик.
Корпуса от D-Link'а просто бесценны... :)
Теперь у меня в них есть и Орион, и Скорпион.
Внутрях мой любимый Орион-512 на EP1C3T144.

http://savepic.net/7438392m.jpg (http://savepic.net/7438392.htm)

http://savepic.net/7427128.jpg

Mick
02.11.2015, 21:10
Внутрях мой любимый Орион-512 на EP1C3T144.


Фуу, ГМО процессор - это не наши методы.

Totem
02.11.2015, 21:38
А я тоже сегодня завершил давно тянувшийся проектик.
Корпуса от D-Link'а просто бесценны... :)
Теперь у меня в них есть и Орион, и Скорпион.
Внутрях мой любимый Орион-512 на EP1C3T144.

http://savepic.net/7438392m.jpg (http://savepic.net/7438392.htm)

http://savepic.net/7427128.jpg

Кажи нутря ! Немедля!
корпус можно доработать кардинально.
если будет не лень, сходи в магаз для моделистов, возьми грунт аэрозоль
http://www.i-modelist.ru/goods/229/231/491.html
обезжирить загрунтовать, на 2 раза желательно. надпись великий
неависноглчныйдлинккотоый увсех можно зашпаклевать сначала, можно приобресть тонкий пластик и аккуратно заклеить, а на нем сделать можно,что угодно .

---------- Post added at 21:38 ---------- Previous post was at 21:36 ----------


Фуу, ГМО процессор - это не наши методы.

Михаил сколько по ячейкам клава получилась?

Ewgeny7
02.11.2015, 22:17
Фуу, ГМО процессор - это не наши методы.
Вынужден согласиться... Резиновые бабы не столь темпераментны, зато гнутся во все стороны :)

---------- Post added at 22:17 ---------- Previous post was at 22:12 ----------


Немедля!
Не... Развинчивать всё...
Вот без верхней крышки, вчерашняя фотка.

http://savepic.net/7425279m.jpg (http://savepic.net/7425279.htm)

Mick
02.11.2015, 22:39
Не... Развинчивать всё...
Вот без верхней крышки, вчерашняя фотка.


Как говорится - резиновая баба изнутри :D

Ewgeny7
02.11.2015, 22:41
Как говорится - резиновая баба изнутри
Ладно тебе издеваться :)
Зато быстро и на макетке. А не на готовой плате, как у некоторых!

Mick
02.11.2015, 22:42
Михаил сколько по ячейкам клава получилась?

Точно не скажу, где то в районе 46 ... 50

В принципе, можно вместо аля SPI запихивать параллельно, если поставить многоногий PIC или AVR. Смысл тот же 5 бит данных, 3 бита адреса регистров и 1 бит на запись. Итого 9 ног. Но нужно чтобы CPLD это осилило. Я делал так чтобы использовать текущие соединения. Зато получилось достаточно компактно по крайней мере внешне.

Totem
02.11.2015, 23:04
Точно не скажу, где то в районе 46 ... 50

8820 брал у G&C super на ebay?
отлаживал зефирку сразу в APU? кстати в 8452 нет вообще JTAG, от слова совсем. снял флэшку epc1064 в plcc

---------- Post added at 23:04 ---------- Previous post was at 22:58 ----------


Ладно тебе издеваться :)
Зато быстро и на макетке. А не на готовой плате, как у некоторых!
http://a3.spb.ru/content/data/store/images/sf_5062_2.pdf :v2_yahoo:
а кто говорил -дайте мне z80 qfp, я сделаю ОРИОН-512 :) ?

Ewgeny7
02.11.2015, 23:06
http://a3.spb.ru/content/data/store/.../sf_5062_2.pdf
Фу... порнуха и плагиат на каком-то интеле.


дайте мне z80 qfp, я сделаю ОРИОН-512
Все еще спередИ, успеем :)

Totem
03.11.2015, 00:00
Эх... пещерные технологии... ) Вот гляньте какая простота - µGalaksija (http://galaksija.petnica.rs/index.php/), ах, какая платка...

http://galaksija.petnica.rs/data/_uploaded/image/gallery1/mDSC_0767.JPG

Зачем есть соевые сосиски, когда в холодильнике есть нормальное, чуть полежалое мясо? :v2_dizzy_botan: ;)

Mick
03.11.2015, 06:57
8820 брал у G&C super на ebay?
отлаживал зефирку сразу в APU? кстати в 8452 нет вообще JTAG, от слова совсем. снял флэшку epc1064 в plcc

Да, у него покупал. Зефирку отлаживал так - написал, прошил ПЗУ , вставил, посмотрел.
Еще раз повторю - у них не тот JTAG, залить туда прошивку через него не получится. Он какой то специфический. По крайней мере мне этого не удалось. Посему я плюнул на это.
НО там можно по последовательному каналу прошивку заливать. Для этого нужно только вывести нужные пины и программатор.
В Зефирке изначально я этого не предусмотрел, посему запиливал туда программу по старинке.

Ewgeny7
03.11.2015, 08:41
Эх... пещерные технологии... ) Вот гляньте какая простота - µGalaksija, ах, какая платка...
Процессор живой где? Таких платок на каждом углу по ведру лежит :)

Totem
03.11.2015, 09:45
Да, у него покупал. Зефирку отлаживал так - написал, прошил ПЗУ , вставил, посмотрел.
Еще раз повторю - у них не тот JTAG, залить туда прошивку через него не получится. Он какой то специфический. По крайней мере мне этого не удалось. Посему я плюнул на это.
НО там можно по последовательному каналу прошивку заливать. Для этого нужно только вывести нужные пины и программатор.
В Зефирке изначально я этого не предусмотрел, посему запиливал туда программу по старинке.
В доке по конфигу 8000 серии, нет схемы подключения по JTAG, только PS из приемлемого для загрузки. Скорее всего он для отладки, после загрузки конфига. в 8452 отсутствует и эта возможность. мне не проверить. В ACEX и F10k- PS,PPA и JTAG, JTAG имеет приоритет над остальными схемами конфигурации.

Mick
03.11.2015, 10:18
В ACEX и F10k- PS,PPA и JTAG, JTAG имеет приоритет над остальными схемами конфигурации.

Ну как бы это уже другие серии и наверняка Альтера извлекла уроки из 8000 серии :)

Totem
03.11.2015, 11:10
Ну как бы это уже другие серии и наверняка Альтера извлекла уроки из 8000 серии :)
Это я себе скорее на заметку :) у меня просто либо 3000,7000,MAX2, либо циклопы 2,3 в закромах, этих я просто не щупал вообще.
из 6000 будет интересна EPF6016 без буквы A, A-VCCINT 3,3 В. 1320 LEs.
Конфиг надо будет грузить внешним контроллером, PPA нет, JTAG как и в 8000. стандартно PS. 144 ноги 5$, 208 -7.5$, 240-15$
Покурил даташитов, в 6000 и 8000 серии JTAG только для тестирования самой альтеры.

Mick
03.11.2015, 12:51
Продолжаем кружок стопки и питья. На полпути к шине :) Вывожу только основые сигналы, посему шина будет немного куцей.

http://s020.radikal.ru/i702/1511/60/cc33eecb5f45t.jpg (http://radikal.ru/f/s020.radikal.ru/i702/1511/60/cc33eecb5f45t.jpg)

Так сказать, красный провод закончился, перешли на оранжевый. Правда и он уже заканчивается.

Ewgeny7
03.11.2015, 13:20
Продолжаем кружок стопки и питья. На полпути к шине
Кто чем занимается в рабочий день :)
Я вот готовлю платки-переходнички для впендюривания Z80 в макетное поле к ПЛИСе.

http://savepic.net/7424074.jpg

Аналогично и SRAM туда будет присобачен.
Технопрон процветает.

Totem
03.11.2015, 15:16
Продолжаем кружок стопки и питья. На полпути к шине :) Вывожу только основые сигналы, посему шина будет немного куцей.

http://s020.radikal.ru/i702/1511/60/cc33eecb5f45t.jpg (http://radikal.ru/f/s020.radikal.ru/i702/1511/60/cc33eecb5f45t.jpg)

Так сказать, красный провод закончился, перешли на оранжевый. Правда и он уже заканчивается.
а сколько у вас мгтф стоит? у нас 12 р. метр. 0.05, 0.07
45 минут и отпуск, какое счастие:)

Mick
03.11.2015, 15:40
а сколько у вас мгтф стоит? у нас 12 р. метр. 0.05, 0.07
А фиг его знает, я сейчас штопаю раздербаненным китайским проводом от RS232 кабеля (25 жильный). Кабелю кирдык, вот и раздербанил. Он тонкий и цветной :)
А так, куски мгтф у меня еще этак 10-20летней давности.

---------- Post added at 15:40 ---------- Previous post was at 15:35 ----------


С "живым" гемора больше, низкая функциональность устройства в целом, быстродействие в разы меньше, потребление в разы больше, повторяемость устройства меньше, стоимость и размеры платы больше, трудоемкость сборки, для изменения схемы требуется бухта провода или создание новой платы и притом не одной :)


Ну и что, ты не можешь никак понять, что творение своими руками приносит кучу положительных эмоций. Тем более сам же ответил - некоторые еще в "радиокружке" застряли. И это круто.
Если бы был бы интерес к ГМО процам и толстым жирным ПЛИСам, то давно сидел бы на них. Но увы, интереса нет.

Ewgeny7
03.11.2015, 16:13
С "живым" гемора больше, низкая функциональность устройства в целом, быстродействие в разы меньше, потребление в разы больше, повторяемость устройства меньше, стоимость и размеры платы больше, трудоемкость сборки, для изменения схемы требуется бухта провода или создание новой платы и притом не одной
Да у нас и не фабрика дядюшки Ли, чтобы вагонами отгружать девайсы, которые нужны единицам. И цена здесь не определяющее значение имеет. И размеры в разумных пределах - тоже. Ты до сих пор не понял, на какой форум попал, Влад? :)
Здесь каменный век, а не 22-ой. Но ради этого здесь все и собрались.


Если это правда, можешь ведро таких платок прислать?
Я тебе обещал бесплатную отгрузку? Где этот текст? :)
Идешь на Алиэкспресс и ищешь "доска развития для идиотов бла-бла-бла", ну, сам знаешь, как там недорогие девбордины называются. И заказываешь пару ведер. Ведь про деньги разговора небыло? :)

Mick
07.11.2015, 08:41
Попытка написать пост номер два. Прошлая попытка не увенчалась, в момент написания поста, кто то срочно решил переезжать.
Тем не менее, пока одни потрошат резиновых баб, другие внезапно переезжают, ну а третьи все же добили проектик до логического завершения. После добавления некоторого количества мгтфа, к плате прикрутили шину Nemo-Bus хоть и слегка упрощенную. Тем не менее установленная в слот Экстрим-карта запела с первого раза. Тут наступил великий момент, ЧСВ подскочило многократно, но в прочем тут же и обломался - похвалиться то некому, тут все переезжают понимаете ли.

Так сказать фото дня.

http://s018.radikal.ru/i511/1511/26/263c14d73f45t.jpg (http://radikal.ru/f/s018.radikal.ru/i511/1511/26/263c14d73f45t.jpg)

http://s020.radikal.ru/i704/1511/f3/d898e1523777t.jpg (http://radikal.ru/f/s020.radikal.ru/i704/1511/f3/d898e1523777t.jpg)

http://i004.radikal.ru/1511/c6/d3db9fad8ceat.jpg (http://radikal.ru/f/i004.radikal.ru/1511/c6/d3db9fad8ceat.jpg)

Все фото кликабельны.
В качестве донора для слота послужила убитая плата Фени 0 ревизии.

Так что, как в игре НЛО - Исследование завершено.

Вот, во что вылилась схема игровой платы, как если бы это был Спекки по кодовым названием ZXM-IGP1 - https://yadi.sk/i/kRnbkKNBkHY8N
Измененную схемы верхней платы выложу чуток позже, ее еще надо нарисовать. :)

Так что где то так, надеюсь пост не пропадет в связи с переездом.

Потом все наработки выложу на свой сайт.

CodeMaster
07.11.2015, 08:55
к плате прикрутили шину Nemo-Bus хоть и слегка упрощенную.

А каково соотношение между сигналами которые были на краевом размере и которые приэмгэтээфили туда?

Mick
07.11.2015, 17:31
А каково соотношение между сигналами которые были на краевом размере и которые приэмгэтээфили туда?

Для примера можешь сравнить две схемы. Оригинальную и нынешнюю. Краевой слот в оригинальной плате не имеет выхода на шину адреса и данных, посему практически все системные сигналы надо было вывести. Я лично сделал все через слот, чтобы было разъемным все. Впрочем можно не заморачиваться и припаять сигналы на слот Nemo Bus.
Следующим этапом я хочу попробовать плату совместно с ZX MultiCard от caro - тоесть выпилить из CPLD обработчик клавы.

- - - Добавлено - - -

И еще о прошедших событиях.

Благодаря форумчанину DFM, за что ему огромадное спасибо, было получено для дальнейших исследований две верхних платки c EPM3512 - так что будет еще что попробовать впихнуть туда. :)

http://s017.radikal.ru/i431/1511/5b/ede0de17450dt.jpg (http://radikal.ru/f/s017.radikal.ru/i431/1511/5b/ede0de17450dt.jpg)

http://s017.radikal.ru/i415/1511/78/5b1f68c129d0t.jpg (http://radikal.ru/f/s017.radikal.ru/i415/1511/78/5b1f68c129d0t.jpg)

- - - Добавлено - - -

Внес исправления в верхнюю плату. https://yadi.sk/i/ohJX8bf3kHymw
Теперь, как бы все инфа по сборке клона Спека из этой платы есть.

Потом все перенесу на свой сайт, а на яндексе будут удалены.

Mick
09.11.2015, 16:27
Сегодня решил попробовать сею девборду с внешней клавой. Для этого откопал карту ZX MC1, долго ее пытался запустить. Намаялся с ней пока запустил. Вроде на клаву реагирует, читает с нее коды, а первоначальный ресет не возвращает. Короче после танцев с бубном, она завелась.
Хотел сфоткать, но фотик разрядился, как зарядится, сделаю так сказать скриншот.

Попутно схему поправил, нашел пару ошибок. Потом выложу исправленную версию.
Кстати в варианте с ZX MC1 или ZX MC2 верхнюю плату можно не портить. Если выпилить клаву, то SD карту можно переместить на другие ноги, которые есть на основной плате. Я на всякий пожарный распаял те ножки, чтобы потом SD туда попробовать впихнуть.

- - - Добавлено - - -

Фотик зарядился, вот собственно пару фоток на тему подключения ZX MC1 к девборде :)

http://s43.radikal.ru/i101/1511/ab/62c9297b3416t.jpg (http://radikal.ru/f/s43.radikal.ru/i101/1511/ab/62c9297b3416t.jpg)

http://s017.radikal.ru/i401/1511/ef/90d6600955c5t.jpg (http://radikal.ru/f/s017.radikal.ru/i401/1511/ef/90d6600955c5t.jpg)

Фото кликабельны

Теперь можно попробовать сварганить вариант без порчи верхней платы. Для этого есть еще опытная и не коцанная верхняя плата :)

- - - Добавлено - - -

Для варианта с внешней клавой был выпилен напрочь из CPLD обработчик клавы, стало теперь 186 занятых ячеек.
SD карту перенес на основную плату. В этом случае верхнюю плату не надо курочить.

http://s004.radikal.ru/i206/1511/77/6d586191a229t.jpg (http://radikal.ru/f/s004.radikal.ru/i206/1511/77/6d586191a229t.jpg)

Но следует отметить, что SD интерфейс не будет работать с верхней платой у которой стоит 5 Вольтовый стабилизатор с делителем. Только 3,3 Вольтовые.
Пришлось выпаивать 5 вольтовый стабилизатор и делитель, и вместо них ставить 3,3В. Это я попробовал на второй подопытной плате, на которой как раз оказался это *****й 5 Вольтовик

balu_dark
09.11.2015, 18:48
Мик - у меня глюк или по питанию - вздутые емкости? (или фотки неудачные?)

Mick
09.11.2015, 19:07
Мик - у меня глюк или по питанию - вздутые емкости? (или фотки неудачные?)

Ну они не совсем вздутые, так если чуток. Но пока на полет никак не влияют.
А фоткаю на работе обычной мыльницей, так что может быть все что угодно.

Mick
10.11.2015, 11:58
В вариант с внешней клавой вставил делитель на 14 (на 7 и потом на 2) для более точной частоты YM насколько конечно это возможно.
Теперь 24МГЦ делим на 14 получаем 1,714МГц. Конечно это не 1,75, но и не 1,5 :)

- - - Добавлено - - -

Также вставлен анализатор порта xxFD, если обращются к нему по короткой адресации, типа out (FD),a

- - - Добавлено - - -

Вот сижу и думаю, вроде как надо впихнуть блокиратор памяти выше 128кб. Но в данном случае как то непонятно выходит. Дискогрыза реального нет, тоесть по крайней мере надо чтобы работал рам диск. Вроде кто то об этом уже говорил. Тоесть по логике при активном DOS/ блокировку надо снимать. Но не будет ли коллизии с работающими приложениями. Кто так уже делал у себя?

Второе замечание, так как клава внешняя, то смысла в одновременном ручном и программным управлении турбой и блокировщиком 128 памяти нет, так как с внешней клавы управлять как бы не получится. Посему в этом случае управление будет по старинке, т.е. тумблером.

Mick
11.11.2015, 08:57
Вчера поразмышлял на тему продолжения копания сего чуда и решил, что навертывать что то в вариантах c внешней клавой (аля ZX MC1 или ZX MC2) и с внешней клавой + SD картой (аля ZC контроллер) не буду.
Остановлюсь на том что сейчас есть, тоесть выпилю ненужное. Все исходники будут доступны, так что вполне сможете и сами добавить нужные функции. Конечно если кто захочет этим заниматься.

Да, вся информация будет выложена на сайт, страничку только сварганю небольшую. Кстати попутно вопрос, эту плату Игрософт разработал или он только игры для нее делал, ну и платы. Короче кто конкретный разработчик этой платы, что нибудь известно об этом?

И куда делся топикстартер, который взбаламутил тут всех - слился что ли втихаря. Будет от него вариант спека или это были только слова.

И еще одна мысль. В варианте Speccy 2007 лучше всего сделать бутерброд, т.е. плату с мегой, SD картой и ОЗУ сделать в таком же формфакторе что и плата с CPLD. Иными словами сначала вставляется плата с мегой а потом в нее вставлять плату с CPLD. Тогда я думаю переделки будут самые минимальные, за исключением конечно самой платы с мегой.

PavelZX
11.11.2015, 12:41
...

Mick
11.11.2015, 13:01
Как и говорил сделаю две платки по 128K, аля спекки 2007, пока не горит особо вот и не спешу. Запчасти, макетки и проводки, вроде всё что нужно есть. Ну и не буду микросхемы регистров и драйверов выдёргивать, не для игр, а для дела всё.


Вот и ладненько, тогда сам не буду заморачиваться с аля Speccy 2007.

Totem
12.11.2015, 13:31
Михаил выложи пожалуйста "репорт" или исходник, при полном фарше. надо прикинуть, что залезет в 144 ногую 256.

Mick
12.11.2015, 18:42
Михаил выложи пожалуйста "репорт" или исходник, при полном фарше. надо прикинуть, что залезет в 144 ногую 256.

Все будет выложено сегодня вечером на мой сайт. Сейчас пока подготавливаю страничку и файлики. Как закину я тут ссылку выложу.

- - - Добавлено - - -

Так, как и обещал выложил все что нажито непосильным трудом на свой сайт http://micklab.narod.ru/IgrosoftIGP1.htm
Писатель из меня никакой, посему не пинать если что.
Смотрите, если будут вопросы задавайте.

Продублирую кодовые названия проектов
* ZXM-IGP1.0 - основная версия проекта, которая позволяет использовать заложенный функционал полностью. Замечу, что под функционалом следует понимать наличие обработчика клавиатуры и интерфейса SD карты. Также отмечу, что эта версия проекта в основном и будет менятся, в отличии от двух других.
* ZXM-IGP1.1 - версия проекта, где исключен обработчик клавиатуры из CPLD и собственно нет микроконтроллера PIC16F88. Данная версия предназначена для тех, кто планирует использовать внешнюю клавиатуру.
* ZXM-IGP1.2 - в этой версии проекта кроме исключения обработчика клавиатуры из CPLD и мироконтроллера PIC16F88, еще исключен интерфейс SD карты. Данная версия предназначена для тех, кто планирует использовать внешние клавиатуру и интерфейс SD карты.

P.S. Вечерком на этой девборде в Диззи 6 погонял, ух еле оторовался :) Жаль нет сохранений.

Totem
12.11.2015, 21:52
Все будет выложено сегодня вечером на мой сайт. Сейчас пока подготавливаю страничку и файлики. Как закину я тут ссылку выложу.

- - - Добавлено - - -

Так, как и обещал выложил все что нажито непосильным трудом на свой сайт http://micklab.narod.ru/IgrosoftIGP1.htm
Писатель из меня никакой, посему не пинать если что.
Смотрите, если будут вопросы задавайте.

Продублирую кодовые названия проектов
* ZXM-IGP1.0 - основная версия проекта, которая позволяет использовать заложенный функционал полностью. Замечу, что под функционалом следует понимать наличие обработчика клавиатуры и интерфейса SD карты. Также отмечу, что эта версия проекта в основном и будет менятся, в отличии от двух других.
* ZXM-IGP1.1 - версия проекта, где исключен обработчик клавиатуры из CPLD и собственно нет микроконтроллера PIC16F88. Данная версия предназначена для тех, кто планирует использовать внешнюю клавиатуру.
* ZXM-IGP1.2 - в этой версии проекта кроме исключения обработчика клавиатуры из CPLD и мироконтроллера PIC16F88, еще исключен интерфейс SD карты. Данная версия предназначена для тех, кто планирует использовать внешние клавиатуру и интерфейс SD карты.

P.S. Вечерком на этой девборде в Диззи 6 погонял, ух еле оторовался :) Жаль нет сохранений.
молодец :) Спасибо, посмотрим. могу нарисовать под 144 ноги, в варианте под фромфактор дисковода 3.5, с АY(gi) и SAA + джой, все почти smd , остается открытым вопрос, о "видевыхлопе", pal кодер,не "лызе" в крынку :(. основных деталек под 5 штук плат хватит.

Mick
13.11.2015, 06:35
молодец :) Спасибо, посмотрим. могу нарисовать под 144 ноги, в варианте под фромфактор дисковода 3.5, с АY(gi) и SAA + джой, все почти smd , остается открытым вопрос, о "видевыхлопе", pal кодер,не "лызе" в крынку :(. основных деталек под 5 штук плат хватит.

Ничего не понял, что ты хочешь сделать. Одно только понял формфактор 3,5 дисковода - эко ты как загнул.
В принципе ты можешь огород не городить, а использовать наработки с Speccy 2007

Totem
13.11.2015, 13:47
Ничего не понял, что ты хочешь сделать. Одно только понял формфактор 3,5 дисковода - эко ты как загнул.
В принципе ты можешь огород не городить, а использовать наработки с Speccy 2007
это к вопросу Жени запихать в пачку сигарет, не получится вот в габарит дисковода да. 2007 ? ммм а что там интересного ? trdos там атмеге эмулируетcя?

Mick
13.11.2015, 15:01
это к вопросу Жени запихать в пачку сигарет, не получится вот в габарит дисковода да. 2007 ? ммм а что там интересного ? trdos там атмеге эмулируетcя?

Фиг знает что в нем интересного, но говорят он крут.

PavelZX
14.11.2015, 04:54
...

Mick
14.11.2015, 11:36
Ну как бы тема Speccy 2007 сейчас в моде, кто мешает зайти в соседнюю ветку и взять то что тебе нужно.

Ewgeny7
15.11.2015, 11:29
но говорят он крут
Да, крут. МикроПентЭва такая. ТРДОС работает с карточки SD, с сохранением даже. Времянки - Пятногон. Простая, но сложная машинка, как-то так :)
До сих пор на работе иногда включаю поиграться.

Mick
15.11.2015, 11:32
Да, крут. МикроПентЭва такая. ТРДОС работает с карточки SD, с сохранением даже. Времянки - Пятногон. Простая, но сложная машинка, как-то так :)
До сих пор на работе иногда включаю поиграться.

А я и не спорю, каждому свое. :)
Я на девборде тоже поигрался - Диззи 6 прошел :)

Ewgeny7
15.11.2015, 11:38
Диззи 6 прошел
Уже проходил раньше?
Я тоже позавчера на Орионе-128 прошел Диззи-3,5 :)

- - - Добавлено - - -

Кстати, Спекки-2007 запросто позволяет сохранять состояние. Он снапшоты делает кошерные, можно продолжать играть с любого сохранения :)

Mick
15.11.2015, 11:44
Уже проходил раньше?
Я тоже позавчера на Орионе-128 прошел Диззи-3,5 :)


Скажем в 90-х :) проходил все Диззи, кроме первого. Не понравился так сказать графон.

Mick
16.11.2015, 09:40
Эх, печалька. Понедельник видимо не задался. Спалил девборду играясь с шиной. Как обычно 12Вольт попали на D7 и на свалку отправились EPM3256, KC89C72 и AM29F040. Хорошо хоть Z80 выдержал. Ну и еще один буфер АП6 на тестируемом устройстве :(

Mick
18.11.2015, 09:59
Сегодня проверил видяху на предмет как она работает с неродными частотами процессора.
На девборде проц работает на 3 и 6 МГц ( норма и турба), а сама видяха на стандартных спековских частотах.
И что, работает. Как говорится асинхронность на лице. Конечно про эффекты зависящие от количество тактов говорить не приходится, но в основном работает :) И главное что никакой понимаешь полосатости.

http://s017.radikal.ru/i401/1511/dc/39aa8218a6c4t.jpg (http://radikal.ru/f/s017.radikal.ru/i401/1511/dc/39aa8218a6c4t.jpg)

Фото кликабельно.

Mick
20.11.2015, 09:20
Тут тук, это опять мы.

Чтобы не плодить новую тему, спрошу здесь. Есть такая микруха 74HC595, типа сдвиговый регистр с регистром хранения в одном флаконе.
Так вот хочу например слобать адаптер PS2 мышь в Kempston mouse. По логике в кемпстон мыши нужны три регистра (кнопки, ось X, ось Y).
Так вот если например я обработчик PS2 мыши у меня например в меге или в пике,а на выход я как бы по аля SPI соединяю с 3 микрухами HC595. Выходы HC595 микрух соединяют с шиной данной компа. Вопрос, вроде как HC не шибко предназанчена для TTL уровней. Есть как бы микруха 74HCT595, но она жутко дорогая, соотношение 10 к 1 (10 шт HC595 стоят как 1шт HCT595). Кто нибудь цеплял ее к шине данных, короче есть у кого опыт ее пользования с TTL схемами.
Уж хочется попробовать мышильду таким макаром прицепить.

Totem
20.11.2015, 10:23
11 штук по 0.5 доляра на UTe 74HCT595D, у меня вроде есть 59*, но серию не помню, посмотрю дома
TTL выходы подтянуть к +5V на CMOS входы или понизить питание НС

Mick
20.11.2015, 11:07
11 штук по 0.5 доляра на UTe 74HCT595D

Ах забыл уточнить, что мне в данном случае интересен в DIPе - концепцию неохота рушить ;)

Totem
20.11.2015, 11:10
Посмотрю, какие есть, "если чё " бери НС снизь питание, диодами.

Mick
20.11.2015, 11:28
Посмотрю, какие есть, "если чё " бери НС снизь питание, диодами.

А зачем, они что на 5В не работают что ли?

Totem
20.11.2015, 11:32
уровни "кагбе" не совместимы.

Mick
20.11.2015, 11:53
уровни "кагбе" не совместимы.

Поглядел даташит, у HC595 уровень на входе лог "0" до 2,1В, а у HCT595 - до 1,2В
Зато на выход у них у обоих все хорошо. Тут как быв чем петрушка. Если выходы уходят на шину данных, то вроде вполне должны не мешать другим. А по входу.Там на входы приходят сигналы от PIC и от CPLDхи. С PICом все понятно, кучу схемв инете, когда подключают 595 к микроконтроллрам напрямую. Но вот CPLDха то EPM3256, она 3,3V, а значит не прокатит, слишком маленький уровень будет. Эх жопа жопная :(

Totem
20.11.2015, 12:04
если так, то подтяни 3,3 выход на +5V

Mick
20.11.2015, 12:52
Пошел по другому пути. Я вставлю между ними и CPLD дешифратор ИД14, уж они то HCT и HС есть и не так дорого. :)

Вот для наглядности что я хотел слобать. Клава как бы есть уже, а вот как бы еще мышильду подцепить в условиях ограниченной емкости CPLD (EPM3256)
Если что не так то сигнализируйте.

По схеме: PCLK - общий клок для клавы (CPLD) и мыши (HC595)
PDAT - общие данные для клавы (CPLD) и мыши (HC595)
CSKBD/ - выбор клавы (CPLD)

https://yadi.sk/i/sfrET8OWkbFRg

Totem
20.11.2015, 13:22
хозяин барин:) экономим макроячейки :)
3256 3,3V LVTTL, совместимы с 5V TTL, потянув к +5V выход, получишь совместимость с входом CMOS по лог 1, по 0 они совместимы полностью.

Mick
20.11.2015, 13:27
хозяин барин:) экономим макроячейки :)
3256 3,3V LVTTL, совместимы с 5V TTL, потянув к +5V выход, получишь совместимость с входом CMOS по лог 1, по 0 они совместимы полностью.

Тут не сколько в экономии макроячеек, сколько половина дешифратора станет не у дел. А так дешифратор будет полностью использован. Ну и пару ячеек освободится заодно :)

Totem
20.11.2015, 14:12
Тут не сколько в экономии макроячеек, сколько половина дешифратора станет не у дел. А так дешифратор будет полностью использован. Ну и пару ячеек освободится заодно :)
Сие разумно и правильно. Я вот вынес управление RAM/ROM на логику мелкую у меня 1 чип RAM. Есть мысль еще оставить только дешифрацию 7ffd в 3256,
сам регистр "железным" поставить. пока не решил, что выгоднее и лучшее.

Mick
20.11.2015, 18:48
Я вот вынес управление RAM/ROM на логику мелкую у меня 1 чип RAM. Есть мысль еще оставить только дешифрацию 7ffd в 3256,
сам регистр "железным" поставить. пока не решил, что выгоднее и лучшее.

Трудно судить о том что не видишь. Я же не знаю что ты такое решил замутить, что EPM3256 на 128кб (или все таки 512кб) порт 7FFD надо вынимать из CPLD.


P.S. Так как все инфу я положил на свой сайт, то с яндекса все материалы удаляю, они больше не актуальны. Так что если попадутся тут вдруг пустые ссылки, не обессудьте ;)

Totem
20.11.2015, 20:46
Трудно судить о том что не видишь. Я же не знаю что ты такое решил замутить, что EPM3256 на 128кб (или все таки 512кб) порт 7FFD надо вынимать из CPLD.


P.S. Так как все инфу я положил на свой сайт, то с яндекса все материалы удаляю, они больше не актуальны. Так что если попадутся тут вдруг пустые ссылки, не обессудьте ;)

Пока нет решения,уперся в свой бзик, дать клонеку больше функционала, чем Спекки2007-Вписаться в формат клавиатуры, по ширине , для монтажа под клавиатуру или мод корпуса клавиатуры, чтоб не иметь лишних коробок,клавиатура-комп, в лучших традициях :) клавиатура и бп.
Вывести шину полноценную.
убрать атмегу,
времянки фирмовой машинки и пентагона по кнопке, без смены конфига.
турбо саунд и саа.
джойстики.
пал кодер.
Хотелок много, но пока обломс, 3256-144 "маловато будет" :)
Поэтому пытаюсь выбросить из 3256 все, что можно на логику мелкую.
Как ты говоришь сделать из старого "", интересное, пихать все FPGA, не интересно в этом случае, чуть поднадоело:) хотя увлекательно.
P.S. Задача осложняется еще тем, что кроме кучки 3256, лежит еще кучка, epm 240 и 74LVC16245, решение само просится:)

Mick
24.11.2015, 13:57
Нашел я у китайцев HCT595 подешевле. Заказал на пробу.

А так, я тащусь от девборды, работает почти каждый день по 8 часов :) Я на работе и она пашет, я с работы и она отдыхать :)

piroxilin
24.11.2015, 14:23
Mick, Оффтопну - Миш, запилил-бы конфу для твоей "Devboard Z80"... Платка няшная, а с нуля я например, написать что-то законченное не могу (пока)....

Mick
24.11.2015, 14:35
Mick, Оффтопну - Миш, запилил-бы конфу для твоей "Devboard Z80"... Платка няшная, а с нуля я например, написать что-то законченное не могу (пока)....

Как бы напомни о чем речь. А то я уже сам начал путаться что я сделал и чего забыл. :)
И что конкретно нужно сделать.

piroxilin
24.11.2015, 14:42
Mick, http://www.micklab.narod.ru/devboardz80.htm плата есть, прошивок нету :)
Девайс нравится, собранный, а осилить конфу пока не могу :)
Что сделать, ну спэхтрум хотябы ...

Mick
24.11.2015, 16:17
Mick, http://www.micklab.narod.ru/devboardz80.htm плата есть, прошивок нету :)
Девайс нравится, собранный, а осилить конфу пока не могу :)
Что сделать, ну спэхтрум хотябы ...

Ох, да надо что ли спек туда замутить, раз основная мысль дальше не развилась.

Mick
25.11.2015, 15:52
Вот типа эскизик моего художества на тему - клон спека на EPM3256, закрепление пройденного.
Так как прет меня иногда посочинять очередные вариации Спектрума :)
Не все же одному Виктору вундервафли придумывать.
На сей раз была задумка сочинить спек на базе деталюх(основных кончно) из игрового автомата. А также что есть из хлама, которому надой найти применения кроме помойки.

Итак имеем:

- EPM3256AQC208 - основа всей логики
- EPM3032ALC44 - там кучка всякого для облегчения жизни контроллера дискогрыза
- KC89C72 - тот же AY, только китайский
- HM628512ALP - две ОЗУхи по 512кб
- AM29F040 - толстая ПЗУ на 512кб
- pic16f88 - клава и мышильда
- GM16C550 + MAX239 - собственно COM порт - это то что хочется куда то запихать
- attyn15 - управлялка ATX питанием из Феникса
- MC146818 она же православная КР512ВИ1
- КР1818ВГ93 - без нее никуда
Концепция такая же как и на плате игрового автомата - DIP микрухи (кроме EPM3256) и чипня вроде резисторов и конденсаторов

Вообщем, нарисовал схемку эскизную и назвал проект ZXM-Alcyon

Вот эскизик https://yadi.sk/i/_qrjW5z_kihzG
Не факт что воплощу в железе, посему пусть поживет тут. Если сподоблюсь нарисовать печатку, тогда имеет смысл создать новую тему.
А так смотрим, высказываемся.

piroxilin
25.11.2015, 16:16
Мини Зефирка, ага.

Mick
25.11.2015, 16:22
Мини Зефирка, ага.

С одной стороны так, функционал тут заведомо ограничен. С другой стороны мои клоны спека все похожи друг на друга чем то, различие их только в каком виде утилизировать тот хлам что есть :)

Mick
26.11.2015, 11:31
Сегодня собрал адаптер для программатора ChipProg+ для программирования CPLD. Пока решил проверить на EPM7064. Поались у меня несколько как я полагал залоченных CPLDхи. Так вот дейстивтельно при высоковольтном программировании они разлочиваются и дальше можно по обычному JTAG шить.
Тут когда то искали куда надо подавать 12В, вроде мелькало что на вход OE1 (44 нога). Я често пионерски поставил туда осцил и действительно в момент операции стирания и прочих в режиме высоковольтного программирования на эту ногу подается 12В.
Попробовал подопытные микрухи, все стерлись и разлочились. Потом уже обычным байтбластером по JTAG нормально запрограммировались. И даже одна попалась EPM7064LC44 и тоже стерлась и потом через JTAG зашилась. Хотя про последнюю, возможно это китайская перемаркировка и там обычная SLC. Не могу сказать точно.
Теперь бы изучить вопрос как разлочить EPM3032 c игровых плат.

Mick
26.11.2015, 12:12
Ну-ну... Это ты им на видео расскажи, про свои задумки и спектрум из хлама )


И к чему это или у тебя очередной приступ попаболи возник? Ну так тогда ты как бы местом ошибся, тебе бы к врачу сходить и проверить свое ЧСВ, а то может не чувствуешь что оно уже зашкалило.

Mick
27.11.2015, 16:56
Вчера пришла посылка из китайского радиомагазина с генераторами на 14МГц. Ну я особо долга не думая, заменил на девборде генератор с 24МГц на 14МГц, пересобрал паршивку и теперь девборда стала православным клоном Спекки :)

- - - Добавлено - - -

Продолжил забавляться платой. Решил попробовать поиграться с верхней платой на EPM3512 которая. Залил туда прошивку и не работает. Что за фигня, начал разбираться - оказалось что у ней разводка такая как была изначально в архиве. Не знаю пока как на второй плате , но уже как бы на лицо факт подтверждения, что разводок действительно было два типа как минимум. Но заработать она так пока полностью не смогла, проблема что то с верхними адресами. Что то отличается, либо она сама немного битая, надо будет на второй плате попробовать. А может быть нижняя плата должна что то иметь конкретно для этих верхних плат, фиг знает.

Посему вопрос к знатокам, DFM - нижние платы с установленной верхней EPM3512 как то отличались от своих собратьев с верхней платой с EPM3256.
Тоесть были случаи взаимозаменяемостью этих плат?

Mick
28.11.2015, 12:03
Хм, поставил вторую плату с EPM3512 - картиниа не изменилась. Проблема с SD картой и ОЗУ не исчезла. Решил потыкать осцилом и сравнить в чем же раница между рабочей на EPM3256. Короче установил, что если SD интерфейс сидит на нижней плате, то он каким то образом влияет на работу девборды. Короче попробовал переставить SD интерфейс на верхнюю плату, подпояв проводками и переназнчив ножки. Вуаля заработало, уже как 2 часа пашет.
Фиг знает что там ей не понравилось, а так хотелось с ОЗУшкой на верхней плате поиграться. :(

Syntal
01.12.2015, 15:07
Посему вопрос к знатокам, DFM - нижние платы с установленной верхней EPM3512 как то отличались от своих собратьев с верхней платой с EPM3256.
Тоесть были случаи взаимозаменяемостью этих плат?
Насколько я помню, под бошку с EPM3512 на основную плату ставились микрухи EPM3032 c другой прошивкой. Больше вроде ничего не нужно было делать, но это в стародавние времена. Ближе к закату пошел полный разброд и шатание - от всяких разных контор стали появляться клоны то ли плат, то ли игр, то ли прошивок ПЛИСин, так что практически ничего друг с другом не было заменяемо.

Mick
02.12.2015, 08:32
Тут продолжил извращаться над девбордой с EPM3512. На сей раз захотелось мне попробовать заюзать верхнюю ОЗУ в качестве скандаблера, надо же видяху свою добить. Так думаю почему не тут тоже попробовать.
Вообщем не все так гладко оказалось, но более менее подогнал под пятногон. Хотя есть все таки небольшие траблыс бегушками по бордеру. А так вроде мультиколорные эффекты не выбиваются. Хотя я не особо тестировал.

Ах, да кварцевый генератор сейчас на 14МГц

Подгонял по этом тесту.

http://s018.radikal.ru/i524/1512/45/0305ed95fe4dt.jpg (http://radikal.ru/f/s018.radikal.ru/i524/1512/45/0305ed95fe4dt.jpg)

Правда в этой прошивке память и SD карта работают стабильно только в норме. В Турбе бывает сбрасывается. Но это почему то зависист от раположения ног SD кантроллера. Если их переназначить на другие ножки CPLD, то проблемы в турбе исчезают.

Syntal
02.12.2015, 14:41
Mick, у тебя какое количество/порядок перепрошивок EPM3256/EPM3512? Вроде гарантированно держат до 100 раз, интересует, что происходит потом: шьются дальше как ни в чем не бывало, больше не шьются, но работает последняя прошивка, больше не работают?

Я понимаю, что на сто первый и т. д. раз с 99% вероятностью прошьются нормально. Интересуют практические результаты по количеству прошивок и что происходит при этом, может кто-то сталкивался.

Mick
02.12.2015, 16:12
Честно сказать не считал сколько перешивал. EPM3512 наверное раз 30-40 уже прошил - пока полет нормальный.
EPM3256 наверное раз 30 всего прошивал, но у меня их две рабочих было. Вышло скажем 20 и 10. Одна потом умерла из за попадания 12В на нее.

Сколько до меня ее шили сказать не берусь.

Mick
03.12.2015, 15:57
Гы гы, то что я думал строка артефачит в демке Rage. Оказывается оно так и есть, в анриле тоже в левом нижнем углу строка артифачит если поставить полный бордер (Complete). А у меня похоже еще шире получился бордер. Вот те на называется. так что похоже пятногонистая развертка скандаблит на девборде.
Вот как теперь ширину бордера уменьшать.

solegstar
03.12.2015, 16:14
Вот как теперь ширину бордера уменьшать.
blank`om

- - - Добавлено - - -

просто спряч его по периментру равномерно и всё. у меня тоже самое показывает Профик, если его подключить через VGA конвертор. на телеке ессно этого не видно, т.к. видимая часть меньше.

- - - Добавлено - - -

или не париться и оставить "как есть". :)

Mick
03.12.2015, 16:22
просто спряч его по периментру равномерно и всё. у меня тоже самое показывает Профик, если его подключить через VGA конвертор. на телеке ессно этого не видно, т.к. видимая часть меньше.

или не париться и оставить "как есть". :)

Кстати говоря, а ты четный бордер делал или как в доке 7 справа и 9 слева. У меня сейчас 8 и 8 но один фиг слева кажется больше на 1 или 2 символа. Если как бы сдвинуть все влево, то экран будет по центру (хотя не факт, когда менюха выведена, то кажется все по центру) и по идее артефакт уйдет сам собой.

В любом случае скандаблер на одну строку у меня работает точно :)

- - - Добавлено - - -

А кажись понял, надо в скандаблере ширину бланка увеличить, а то получается он сам короткий а у обычного телека он длиньше.
Завтра надо попробовать проверить эту догадку.

solegstar
03.12.2015, 17:32
а ты четный бордер делал или как в доке 7 справа и 9 слева. У меня сейчас 8 и 8
у меня нечетный просто не получился бы. у меня один адрес пзу равнялся 8 тактам, так что 32 на 32.

Mick
07.12.2015, 13:11
Ну усе, демо-пятнагоно теперь бордерит и мультиколорит на VGA правильно. Также отрезал 2,5 лишних видимых позиции ибо они там артифачили так как демки писали на телеках а не на мониторах.

Итого что имеем:
верхняя плата с EPM3512AQC208 c установленной ОЗУхой. ОЗУха нужна для скандаблера.
кварцевый генератор на 14МГц вместо штатного.

Только один косяк, SD интерфейс надо на другие ноги переносить ибо в Турбе он на этих ногах колбасится. Может быть конечно если забить потуже CPLDху, тогда и оклемается. Но как есть так есть.

В любом случае, у меня теперь есть тоже штатный пятногон :)

Теперь развертку Фени надо попробовать всунуть.

kox
07.12.2015, 13:22
А платку, которая отдельно с плисиной и памятью не продаш?
А то я так понял у тебя их несколько.

Mick
07.12.2015, 14:06
А платку, которая отдельно с плисиной и памятью не продаш?
А то я так понял у тебя их несколько.

C EPM3512 я сам покупал у DFM. У меня их только две. С EPM3256 есть как говориться запасные, но они емкостью меньше в два раза.
И это на ПЛИС, а CPLD. Они как бы ограниченно записываемые.

- - - Добавлено - - -

А вот и Фенечка с своей разверткой подоспел.
Вон как кошка-бордер переливается :)

http://s55.radikal.ru/i149/1512/08/bf87c5b805dft.jpg (http://radikal.ru/f/s55.radikal.ru/i149/1512/08/bf87c5b805dft.jpg)

Ну усе, теперь все что я хотел попробовать - скандаблерит и кажет.
Теперь видяху пойду корректировать.

- - - Добавлено - - -

Блин, засада. Уже второй раз PIC теряет прошивку при включении. Что за хрень такая.
Как это может быть, включаешь, а прошивки как не бывало :(

- - - Добавлено - - -

Кстати, поглядел сколько сейчас прошивка CPLD занимает, оказывается всего то 283 макроячейки из 512. Вот думаю может поллитра туда налить, как раз заюзается еще одна ОЗУня. :)

kox
07.12.2015, 14:29
Я знаю, что это cpld, Вообщем не продаешь?
Такая корова нужна самому?:)
Мне просто для опытов понадобились cpld, которая толерантна к 5в.

Mick
07.12.2015, 14:39
Я знаю, что это cpld, Вообщем не продаешь?
Такая корова нужна самому?:)
Мне просто для опытов понадобились cpld, которая толерантна к 5в.


Спроси для начала DFM, наверняка у него 256 есть еще. Если уж не будет у него, то одну с EPM3256 могу найти. 512 увы, самому нужны.

Totem
07.12.2015, 14:46
3256 найдемс. коли нужно.
Чиркните в личку адрес, деда мороз пришлет.:)

kox
07.12.2015, 15:00
Totem, отписал в личку.

balu_dark
08.12.2015, 14:50
Чего-то деда мороза редко приходит :)

Mick
10.12.2015, 14:38
Выдалась свободная минутка и замахрюнил обе развертки в девборду. Теперь Феня и Пятногон в одном флаконе. Гы гы количество джамперов возрастает :)
Напомню, сейчас извраты идут с 512 ячейками. Пока занято 292 ячейки. Надо думаю поллитра налить туда.

Mick
11.12.2015, 16:30
И еще немного апдейта. Добавил в прошивку режим аппаратного гигаскрина и мультиколора. Скопипастил с видяхи.
Все больше и больше тащусь от девборды :)

Totem
11.12.2015, 16:41
Можешь выкинуть все кроме контроллера памяти и видео режимов из конфы и посмотреть сколько ячеек занимает?
все остальное можно впилить в EPM240, там 192 ячейки. Правда придется, уровни согласовывать, но это ерунда уже.
стоит копье да и есть их у меня

Mick
11.12.2015, 16:46
Не понял, видео режимы из какой конфы?
И как бы в Максе EPM240 нема, это надо в кактусе.

Totem
11.12.2015, 18:17
Не понял, видео режимы из какой конфы?
И как бы в Максе EPM240 нема, это надо в кактусе.

Просто оставь в конфе режим пента и фени, контроллер памяти на 1M
и сколько ячеек глянь. для 3256
если не сложно конечно, у меня макса и кактуса до понедельника не будет под рукой.
или выложи текущую конфу для 3512, пожалуйста, я сам выпилю, что не нужно.

Mick
11.12.2015, 18:40
Просто оставь в конфе режим пента и фени, контроллер памяти на 1M
и сколько ячеек глянь. для 3256

Сейчас конфа для 512-ой. Для 256 я как бы закончил писанину, когда выложил все страничку. Сейчас к тому же завязано еще все на скандаблер. Это его еще надо отпилить.

- - - Добавлено - - -

Отрезал от 512 контроллер клавы и SD карты. В итоге 198 ячейки. Если отрезать скандаблер будет меньше. Только вот не пойму, зачем тебе развертка Фени и Пента без скандаблера. В VGA режиме они по большей степени бестолковы ибо мультиколоры и бордеры будут показываться уже неправильно.
Тем более это написано на AHDL, а в кактусе вроде он корявый что ли. Там надо как минимум на VHDL писать. А там ячейки по другому могут посчитаться.

Totem
11.12.2015, 18:52
нене все правильно, ты не много не так понял, спасибо.
это и нужно, всю остальную периферию в 240 упаковать можно.

Mick
14.12.2015, 12:45
Гы гы, все о чем мечтали, но боялись спросить. Прикрутил к девборде дополнительный бит цвета бордера. Теперь 15 цветный бордер.
Кстати в видяхе это тоже доступно. :)
Набросал небольшой тестик и работает зараза. Правда надо чутка такты подравнять, а то где то ошибся малость.

solegstar
14.12.2015, 12:58
Mick, на какой порт посадил?

Mick
14.12.2015, 14:37
Mick, на какой порт посадил?

Не все просто.
Сам бит цвета сидит на 5 бите порта 0FEh, так же как и в Sam Coupe.
Но чтобы не глючили возможно срущие программы, то этот бит надо еще разрешить.

Как я давно уже намекал, у меня порт управления видеорежимами EFFCh

0 - бит отвечает за мультиколор (0 - выключен, 1 - включен) При включенном бите 6144 байт картинки сидят на 4000h а атрибуты, это еще 6144 сидят на 6000h
1 - бит No Flash. Это вместо бита мерцания юзается бит яркости
2 - бит расшиеренного цвета бордера (0 - выключен, 1 - включен)
3 - бит разрешения палитры (только для тех у кого ее нет штатной)
4 -
5 - бит гигаскина (0- нет, 1 - активен)

Еще думаю также поставить бит на разрешения выключения отображения, как в Сам Копе. То есть откючаешь изображение в порте FE (помоему 7 бит) и у тебя только показывает один бордер. Где то так. Удобно полоски так рисовать. :)

- - - Добавлено - - -

Так сказать, чтобы не быть голословным. В подтверждении 15 цветного бордера :)

http://s017.radikal.ru/i404/1512/83/09e96fe6b0f7t.jpg (http://radikal.ru/f/s017.radikal.ru/i404/1512/83/09e96fe6b0f7t.jpg)

Это типа кто не звездабол, как тут есть некоторые.

Mick
15.12.2015, 14:11
А между делом, тым тырым - прикрутил поллитра к девборде.
И надо же она работает.
Так сказатать эксперимент на лице. Издевательству подверглась котейка дема

http://i011.radikal.ru/1512/a0/37d1fef60102t.jpg (http://radikal.ru/f/i011.radikal.ru/1512/a0/37d1fef60102t.jpg)

Палитра отбалдовая, так взял чтобы отличалось от оригинала. :)

http://s55.radikal.ru/i149/1512/08/bf87c5b805dft.jpg (http://radikal.ru/f/s55.radikal.ru/i149/1512/08/bf87c5b805dft.jpg)

Не ну не думал, что плюшевые медведи на такое способны :)

Totem
15.12.2015, 14:48
Пока все 512 ячеек не забьешь не успокоишься:))) медведям зачет:)

Mick
15.12.2015, 14:50
Пока все 512 ячеек не забьешь не успокоишься:))) медведям зачет:)

Да нет, надо место под будущий контроллер FDD оставить. А то вдруг захочу клона нарисовать еще одного
Тобишь уже почти успокоился :)

Mick
16.12.2015, 11:42
Прикрутил к девборде регистр видеостраниц (порт DFFCh), который позволяет включать в качестве видео страницы любую из порта 7FFDh
Иными словами, в Фениксе, в Зефирке и девборде используются 0..2 и 7 биты порта 7FFDh, т.е. общая память 256кб на порт или 16 страниц.
Так вот регистр видеостраниц позволяет выбирать любую из этих страниц в качестве видео.

Также отмечу что бит 3 порта 7FFD, который отвечает какой экран сейчас активен с 4000 или C000h, проще говоря 5 и 7 страница, тоже отображается на порт DFFCh. Он там как 1 бит.
Иными словами если пишете в порт 7FFD, то 3 бит автоматически переносится в регистр видеостраниц.
И также, если пишете в DFFC, то 1 бит переноситя в соотвественно в 7FFD
Конечно в реальности это один регистр, просто запись в него мультиплексируется.

Да и из DFFC можно читать состояние текущей видеостраницы, в смысле какая сейчас активна.

И в заключении скриншот программки которая по прерываниям переключает три страницы отображая картинку в формате rgb. На реале конечно мерцает все.

http://s018.radikal.ru/i506/1512/17/a02d213b0dbdt.jpg (http://radikal.ru/f/s018.radikal.ru/i506/1512/17/a02d213b0dbdt.jpg)

Теперь девборда умеет все что видяха на данный момент и засим закругляюсь. Все исходники будут выложины на мою страницу в рамках проекта ZXM-IGP1.3 (это все что касается EPM3512)

PavelZX
17.12.2015, 14:56
Это типа кто не звездабол, как тут есть некоторые.
...

На форум я пришёл общаться, по интересам, а не мерятся пи...

CodeMaster
17.12.2015, 15:21
На форум я пришёл общаться, по интересам, а не мерятся пи...

Я почти уверен, что это предназначалось не тебе, а Виктору (хотя он эту тему и не посещает). Но даже если это и так, по моему скромному ИМХО, Михаилу всё-таки следует сдерживаться в выражениях даже в его адрес. И вообще любого человека, как бы он не раздражал своим присутствием на форуме.

Mick
17.12.2015, 16:50
Как мы себя любим, "мишка плюшевый"...

На форум я пришёл общаться, по интересам, а не мерятся пи...

Можешь и дальше любить себя, до усталости.

Тебя что за хвост дернули, что ли или ты все на себя привык вешать, тут увы я ничем помочь не могу.
Вот CodeMaster правильно смекнул кому пренадзначалось это. :)

- - - Добавлено - - -


Я почти уверен, что это предназначалось не тебе, а Виктору (хотя он эту тему и не посещает). Но даже если это и так, по моему скромному ИМХО, Михаилу всё-таки следует сдерживаться в выражениях даже в его адрес. И вообще любого человека, как бы он не раздражал своим присутствием на форуме.

Смекаешь :)
Но фиг, я и так сдерживаюсь матюками его не обложить, природная скромность пока не позволяет этого сделать.

Mick
18.12.2015, 12:58
Поглядел повнимательнее на EPM3512A, так как захотел оформить все что я тут наплел и заметил, что не совсем равнозначные между собой EPM3256A и EPM3512А. Да они по ногам как бы совместимы, хотя у EPM3512 все задействованы ноги (не на плате, а в даташите). По питанию они тоже различаются одним выводом, у 512 один дополнительный вывод на +VCCIO. На верхней плате он не запаян, хотя вот звонится он со всеми +VCCIO.
А теперь в чем их существенное отличие. Они внутри различны и прежде всего числом логических блоков. Это я не про количество макроячеек, там все понятно 256 и 512, именно про логические блоки. Так вот если в 256 каждый логический блок объединяет по 9 или 10 выводов и всего их от LAB A до LAB 7, т.е. 16, то в 512 там все намного сложнее, блоки там объединяют от 4 до 6 выводов и соотвественно их от LAB A до LAB FF, т.е. 32 блока. И вот тут кроется самое главное, 256 и 512 по отношению блок-вывод не совместимы. Иными словами допустим вывод 173 в 256 принадлежит LAB E, а в 256 это LAB A.
Получается что сигналы внутри 512 могут попадать совершенно на разные блоки в отличии 256.
Вероятно поэтому прошивка для 256 после перекомпиляции не совсем хочет правильно работать на 512, видимо внутренняя трассировка сигналов идет совершенно по разным путям.
Так что иногда происходит жопа жопная. Турба на 512 никак правильно не хочет работать, при SD интерфейсе на тех же ногах что и в 256.

Mick
20.12.2015, 09:51
Выложил на страничку http://micklab.narod.ru/IgrosoftIGP1.htm всю инфу по так называемому проекту ZXM-IGP1.3 - это мои опыты с девбордой, с уcтановленной на верхней плате EPM3512AQC208.
На данный момент пока не поборол турбу (вероятная причина описана в предыдущем посте). А так вкусная крутотенечка получилась :)
Посему пока завершаю интенсивные работы с этой платой, так если возникнут мысли, тогда что нибудь еще вставлю, а пока это все.

Ewgeny7
23.12.2015, 11:30
Mick, можно, я чуть-чуть пооффтоплю?
Я тут собираю очередную (уже четвертую, или пятую) девбординку на ПЛИС + МГТФ :)
Камень - Циклон-2, SRAM 512кб (256х16 бит).
Пока распаяно только минимальное количество проводов для запуска и жизнедеятельности ПЛИСы.

http://savepic.su/6866454m.jpg (http://savepic.su/6866454.htm)

http://savepic.su/6860310m.jpg (http://savepic.su/6860310.htm)

Будет Орион-Про или БКшка :)

Mick
23.12.2015, 11:56
Mick, можно, я чуть-чуть пооффтоплю?


Да я особо то не против. Да и топикстартер тоже как бы не я. :)

Я активную фазу девбордирования сейчас закончил, посему становлюсь зрителем.
Судя по всему раз второй циклоп, то намечается гейпроц? :)

Ewgeny7
23.12.2015, 12:09
то намечается гейпроц?
Да, он самый. Прицеплять реалпроц - уж слишком много танцебубнов с преобразователями, да и лап у циклона не так много. Пускай поработает "безалкогольный" :)

Mick
23.12.2015, 15:19
Да, он самый. Прицеплять реалпроц - уж слишком много танцебубнов с преобразователями, да и лап у циклона не так много. Пускай поработает "безалкогольный" :)

Тогда выразим по этому поводу своё категорическое фи :v2_scare:

- - - Добавлено - - -

Ха, только я собрался положить девборду на "полку" как оказалось интересная вещь. Дай думаю другую плату с EPM3512 поставлю, как она себя ведет.
Заливаю значит прошивку, а она не нет и артефачит потихоньку. Думаю что за хрень. Сравниваю две платы и разница только в стабилизаторах и микрухах памяти.
У той которая нормально показывает 20нс память, а та которая выкаблучивается 15нс. Поменял микрухи памяти местами - и бац артефакты пропали.
Однако же 15 нс память в скандаблере - это уже слишком шустро выходит :v2_conf2:
Короче поглядел есть ли у меня еще под рукой 20нс, оказалось что есть на палитре, ну и поменял их местами.
Теперь вроде обе платы работают одинаково. Только пока с турбой бодаюсь. Но вроде выявил закономерность. Если в разъем расширения вставляю видяху, то турба начинает работать вроде стабильно.Может конечно не факт, надо подольше погонять.
Но все же странно все это, видимо какой то сигнал нагрузить что ли надо, только какой.

Ewgeny7
23.12.2015, 17:16
У той которая нормально показывает 20нс память, а та которая выкаблучивается 15нс. Поменял микрухи памяти местами - и бац артефакты пропали
синдром "Спринтера"? :)
Там тоже был Ай, если ОЗУшки не точно такие же поставишь. Нехорошо это...

- - - Добавлено - - -


Тогда выразим по этому поводу своё категорическое фи
Да и ладно. В этом деле главное - чтоб было чем заняться с удовольствием :)

Mick
23.12.2015, 20:35
синдром "Спринтера"? :)
Там тоже был Ай, если ОЗУшки не точно такие же поставишь. Нехорошо это...


Да нет, это показывает, что железка живая, а не то что ваш гей-гмо-ящик. ;)

Ewgeny7
23.12.2015, 21:27
а не то что ваш гей-гмо-ящик
А вот я бы попросил вас...
Первые мои поделки тоже косячили, причем даже на разных платах одной комплектации :)
Это значит, времянки на пределах, или несинхронный проект.

- - - Добавлено - - -

Пример хорошей, правильной синхронности - пресловутый Спекки-2007. Какие только ОЗУшки туда не втыкали, всё работало. И 10нс, и 55нс, вроде даже 70нс.

Mick
23.12.2015, 22:06
Пример хорошей, правильной синхронности - пресловутый Спекки-2007. Какие только ОЗУшки туда не втыкали, всё работало. И 10нс, и 55нс, вроде даже 70нс.

Э... как бы на какой частоте там проект работал, скандаблер есть?
На 3,5 МГц память на 10нс, 50нс, 70нс - да вы что, еще бы она не работала. ;)

И да, Спринтер как бы на AHDL написан. ВОт перепишите на VHDL или на Мукшдщп, глядишь и тоже любая память пойдет.
Так что то смельчаков не видно.

AndyD
23.12.2015, 23:36
И да, Спринтер как бы на AHDL написан.
О,ZXM-Спринтер.:)

Mick
24.12.2015, 06:52
О,ZXM-Спринтер.:)

Зачем? Просто любители VHDL или Verilog могли в кактусе переписать прошивку. Вроде серия FLEX 10K есть в кактусе.
Но как бы оно вроде никому не надо, зато да - синдром Спринтера ходит по всюду.
Так приложите к нему так сказать лапы и глядишь излечится он.

CodeMaster
24.12.2015, 09:28
Просто любители VHDL или Verilog могли в кактусе переписать прошивку.

А автоконвертера от Altera как от Xilinx нет? И это надо рабочий Спринтер для тестов иметь.

AndyD
24.12.2015, 09:41
Зачем?
И это говорит нам Mick, только что доделав Спек из непонятно каких-то плат.

Но как бы оно вроде никому не надо
Сложность повторения в оригинальном исполнении останавливает.
Да в Quartus II 9.0 есть FLEX 10K.

Mick
24.12.2015, 13:34
А автоконвертера от Altera как от Xilinx нет? И это надо рабочий Спринтер для тестов иметь.

А что у Ксилинкса есть AHDL. Насколько помню, что это альтеровский язык. Проект на AHDL как бы можно и в кактус перенести, но там будет помоему такая задница после конвертации, ну его.... Похоже при написании кактуса AHDL приплели чисто из политических соображений, мол он как бы есть, но считай пользоваться им, за дурачка сойдешь.

- - - Добавлено - - -



Сложность повторения в оригинальном исполнении останавливает.
Да в Quartus II 9.0 есть FLEX 10K.

Просто насколько он актуален даже в новом исполнении при наличии недоэвы, тсэвы и реверсы?
Одно дело опыты над "штатными" возможностями спека, и совсем другое над "расширенными" машинами.

- - - Добавлено - - -

Так господа, тут проблемс образовался. Не могу понять что происходит с PIC16F88. Он периодически теряет прошивку. В программе я в память программ ничего не пишу. Но вот включаешь иногда, а клава не работает. Ставишь в программатор - а там PIC страдающий потерей памяти. Причем конфигурационное слово не стирается.
Только не надо мне предлагать, выкинь пик и поставь АВР. На АВР каждый хвостатый и пушистый смогет, а мне вот в данном моменте PIC интересует.

CodeMaster
24.12.2015, 14:19
А что у Ксилинкса есть AHDL.


В составе пакета фирмы Xilinx есть утилита xport.exe, с помощью которой можно отконвертировать ABEL и AHDL файлы в VHDL/Verilog-описания.
Конвертор очень хороший, но есть некоторые моменты, связанные с его работой, как-то: в VHDL нет аналога конструкции PARAMETER AHDL, поэтому параметрические функции надо дорабатывать ручками; наблюдались трудности с конвертацией AHDL-конструкций типа X[3..0][7..0] в VHDL - сигналы X1[7 downto 0] и X2[7 downto 0] создает, а X3 и X4 - теряет. Так что результат конвертации следует рассматривать не как законченый код, а как заготовку для доводки и её надо заново проверять, проверять и проверять.

Или это не то?


Только не надо мне предлагать, выкинь пик и поставь АВР

Выкинь этот и попробуй другой экземпляр.

Mick
24.12.2015, 14:39
Выкинь этот и попробуй другой экземпляр.

А что если и другой тоже будет амнезией страдать, тоже выкинуть. Может все же я что то не так сделал, для начала бы понять как такое возможно.

CodeMaster
24.12.2015, 14:52
Может все же я что то не так сделал, для начала бы понять как такое возможно.

Если есть дубль, то брак конкретного экземпляра лучше сразу проверить.

Mick
24.12.2015, 18:11
Если есть дубль, то брак конкретного экземпляра лучше сразу проверить.

Дубль то есть, но теперь попробую после праздников. Уже положил в коробку девборду (она на работе).
А на праздниках тогда попробую Альциону порисовать.

Ewgeny7
26.12.2015, 12:15
На АВР каждый хвостатый и пушистый смогет
Но-но...

- - - Добавлено - - -


Может все же я что то не так сделал, для начала бы понять как такое возможно
Напряжение прошиватора, не?
А ты вообще на чем пишешь для ПИКа, на асме?

Mick
26.12.2015, 13:18
Напряжение прошиватора, не?
А ты вообще на чем пишешь для ПИКа, на асме?


Ну да, на асме. Кстати исходники выложены на соответствующей странице моего сайта.
Может там дело во фьзах. там какие то новые есть. Вообще с пиками я лет 15 назад сталкивался, когда были у нас PIC16C74, но там проблем не возникало.
Просто хотел сейчас попробовать с PIC16F88 и вот тебе, сносится прошивка периодически. Он может недели два поработать и бац чистяк.
Кроме того замечено что он еще периодически пересбрасывается, но таймер вачдога отключен, да и нет закономерности в пересбросе. Когда захочет, тогда и отресетится.

По поводу наряжения программирования. Сначала я шил через китайский PICKIT, это который внутрисхемный, там оно болье 5В не будет

В последний раз зашил через Chipprog+ и через высоковольтный режим (13V), но пока еще не установил будет ему плохо или нет. Только после праздников, ибо я уже в этом году на работе не появлюсь, по крайней мере на это рассчитываю.
- - - Добавлено - - -


Но-но...



Гы гы, хоть и прикидывается самолетом, а душа один фиг кошачья :)

solegstar
26.12.2015, 13:36
Он может недели два поработать и бац чистяк.
такое было с мегой в мультикарте - вылечилось заменой меги. :)

Ewgeny7
26.12.2015, 23:34
Гы гы, хоть и прикидывается самолетом, а душа один фиг кошачья
Я - Кот. В самолете... :)

- - - Добавлено - - -


такое было с мегой в мультикарте - вылечилось заменой меги
Некий DI HALT описывал всякоразные симптомы Мег, приехавших с Китаев. Пики лепят тоже не в омериках, я думаю.
Всё, что продается по цене ниже дилерской - скорее всего "отсев" по отбраковке, лотерея по качеству. Чаще всего всё работает допустимо нормально. Но...

Totem
27.12.2015, 12:31
Товарищ на работе собирал, пару девайсов на мегах, я ему их выдал из запасов деда мороза, почти все железяки, которые раздает снегура оригинальные 100%,
или в фирмовыйх тубах, либо в палетах, мега 16 не завелась как не крутили, выдал еще 1 все работает. в прошлом году товарищу с форума выслал альтер пару штук, не завелись, у народа которым перепало счастие с этой упаковки все работает, с одной известной конторой просто "разасрались", вся лента памяти оказалась битой . оно работало через раз или сразу нет, в итоге прислали. контрафакт и брак есть был и будет :)

Alex_LG
28.12.2015, 18:01
У вас тут какие-то страсти! Я покупал Меги 8/16/32 в местном магазине, сейчас покупаю на али - все прошиваются и работают нормально. Тоже с Альтерами и Ксилинксами. Если и "умирали", то только при сбоях при перепрошивке или из-за КЗ. Меги программирую собранным давным-давно каким-то польским ЛПТ-программатором иногда ЮСБАспом, Альтеры и Ксилинксы - так же самодельные ЛПТ-программаторы, схемы от производителей.

Mick
28.12.2015, 18:10
И к чему это?
У меня тоже чего много работает без всяких страстей. Тут есть конкретная реальная ситуация и я хочу знать ответ на нее.
Если конкретно нечего сказать, то смысл воздух зря сотрясать.

Alex_LG
28.12.2015, 21:16
И к чему это?

Да к тому, что может не нужно сразу зацикливаться на ПИКе, а глянуть в сторону питания (в т.ч. блокировочного конденсатора), кварца... Уж как-то не связаны между собой слёт прошивки и срабатывает вотчдога.

Mick
02.01.2016, 11:35
Только заметил, у нас появился еще один стиратель своих постов. Эко как бомбануло у топикстартера. Опять получилась тема с непонятным содержанием.

Ewgeny7
02.01.2016, 12:36
всё течет, все меняется...

Mister
05.01.2016, 17:58
Всех с наступившим Новым Годом и наступающим Рождеством !

Спрошу здесь, если никто не против
Собрал себе вот такой девайс

http://savepic.org/8072799m.jpg (http://savepic.org/8072799.htm)

CPLD: EPM3128
Логику описывал на VHDL, я не программист и это мой первый проект. Несмотря на это оно запустилось


https://youtu.be/GZgqMJTi6fo

Но после попытки добавить порт клавиатуры, девайс перестал запускаться.
После ресета просто белый экран иногда с пролетающим мусором


https://youtu.be/YNXJAincD9M

Подскажите люди добрые что делаю не так, код прилагаю


library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;



entity spectrum is
port(
clock_14: in std_logic;
clock_cpu: out std_logic;
CSync: out std_logic;
R: out std_logic;
G: out std_logic;
B: out std_logic;
Y: out std_logic;
VRD: out std_logic;
VWR: out std_logic;
VCS: out std_logic;
VA: out std_logic_vector (13 downto 0);
VD: inout std_logic_vector (7 downto 0);
D: inout std_logic_vector (7 downto 0);
RD: in std_logic;
WR: in std_logic;
A: in std_logic_vector (15 downto 0);
IOREQ: in std_logic;
MREQ: in std_logic;
ROM: out std_logic;
RAM: out std_logic;
VRAM: buffer std_logic;
CPU_ACC: out std_logic;
Spkr: out std_logic;
Wait1: out std_logic;
INT: out std_logic;
Key: in std_logic_vector (4 downto 0)
);
end spectrum;



architecture spectrum_arch of spectrum is

signal HSync: std_logic;
signal VSync: std_logic;
signal clock_count: std_logic_vector (1 downto 0); -- clock_count(0) - pixel_clock (7 MHz), clock_count(1) - cpu_clock (3,5 MHz)
signal pixel_clock: std_logic;
signal H_count: std_logic_vector (8 downto 0);
signal V_count: std_logic_vector (8 downto 0);
signal Blank: std_logic;
signal Border: std_logic;
---------------------------------------------------
signal Flash_count: std_logic_vector (4 downto 0); --!!!!!!!!!!!!!!!!!!!!!!!!
---------------------------------------------------
signal Pix_adr: std_logic_vector (13 downto 0);
signal Atr_adr: std_logic_vector (13 downto 0);
signal Pix_reg0: std_logic_vector (7 downto 0);
signal Atr_reg0: std_logic_vector (7 downto 0);
signal Pix_reg1: std_logic_vector (7 downto 0);
signal Atr_reg1: std_logic_vector (7 downto 0);
signal Pix: std_logic;
signal Port_FE: std_logic_vector (7 downto 0);
signal IOWR: std_logic;
signal IORD: std_logic;
signal V_ACC: std_logic;



begin
clock_cpu <= clock_count(1);
pixel_clock <= clock_count(0);
CSync <= not (HSync xor VSync);
D <= VD when (V_ACC = '1' and VRAM = '0' and RD = '0') else "ZZZZZZZZ";
VD <= D when (V_ACC = '1' and VRAM = '0' and WR = '0') else "ZZZZZZZZ";

Port_FE <= D when (IOWR'event and IOWR = '0');

D(4 downto 0) <= Key when (IORD = '0') else "ZZZZZ";

CPU_ACC <= '0' when (V_ACC = '1') else '1';
VRD <= '0' when (V_ACC = '0') else RD;
VWR <= '0' when (V_ACC = '1' and VRAM = '0' and WR = '0') else '1';
VCS <= '0' when (V_ACC = '0') else VRAM;
ROM <= '0' when (A(15) = '0' and A(14) = '0' and MREQ = '0') else '1';
VRAM <= '0' when (A(15) = '0' and A(14) = '1' and MREQ = '0') else '1';
RAM <= '0' when (A(15) = '1' and MREQ = '0') else '1';
IORD <= '0' when (RD = '0' and A(0) = '0' and IOREQ = '0') else '1';
IOWR <= '0' when (WR = '0' and A(0) = '0' and IOREQ = '0') else '1';
Spkr <= Port_FE(4);

INT <= '0' when (V_count = 249 and (H_count >= 316 and H_count < 388)) else '1';



process (H_count(2 downto 1), pixel_clock)
begin
if (pixel_clock'event and pixel_clock = '1') then
if H_count(2 downto 1) = "00" then
V_ACC <= '0';
Wait1 <= '0';
else
V_ACC <= '1';
Wait1 <= '1';
end if;
end if;
end process;


--clock_count
process (clock_14)
begin
if (clock_14'event and clock_14 = '0') then
clock_count <= clock_count + 1;
end if;
end process;


--H_count
process (pixel_clock)
begin
if (pixel_clock'event and pixel_clock = '0') then
if H_count < 448 then
H_count <= H_count + 1;
else
H_count <= "000000000";
end if;
end if;
end process;


--HSync
process (pixel_clock, H_count)
begin
if (pixel_clock'event and pixel_clock = '0') then
if (H_count >= 304 and H_count < 332) then --331
HSync <= '0';
else
Hsync <= '1';
end if;
end if;
end process;


--V_count
process (HSync)
begin
if (HSync'event and HSync = '1') then
if (V_count < 312) then
V_count <= V_count + 1;
else
V_count <= "000000000";
end if;
end if;
end process;


--VSync
process (pixel_clock, V_count)
begin
if (pixel_clock'event and pixel_clock = '0') then
if (V_count >= 248 and V_count < 255) then
VSync <= '0';
else
Vsync <= '1';
end if;
end if;
end process;


--Flash
process (Vsync)
begin
if (Vsync'event and Vsync = '1') then
Flash_count <= Flash_count + 1;
end if;
end process;


--Blank
process (pixel_clock, V_count, Vsync)
begin
if (pixel_clock'event and pixel_clock = '0') then
if (H_count >= 304 and H_count < 399) or Vsync = '0' then
Blank <= '1';
else
Blank <= '0';
end if;
end if;
end process;


--Border
process (pixel_clock, V_count, H_count)
begin
if (pixel_clock'event and pixel_clock = '0') then
if (H_count >= 256 and H_count < 448) or (V_count >= 192 and V_count < 312) then
Border <= '1';
else
Border <= '0';
end if;
end if;
end process;


--
process (H_count, V_count, V_ACC, Pix_adr, Atr_adr, A(13 downto 0))
begin
if (V_ACC = '0') then
case H_count(0) is
when '0' => VA <= "0" & V_count(7 downto 6) & V_count(2 downto 0) & V_count(5 downto 3) & H_count(7 downto 3);
when '1' => VA <= "0110" & V_count(7 downto 3) & H_count(7 downto 3);
end case;
else VA <= A(13 downto 0);
end if;
end process;


--Load Pixel byte
process (H_count, Pix_adr, VD, pixel_clock)
begin
if (H_count(2 downto 0) = "000") then
if (pixel_clock'event and pixel_clock = '0') then
Pix_reg0 <= VD;
end if;
end if;
end process;


--Load Atribute byte
process (H_count, Atr_adr, VD, pixel_clock)
begin

if (pixel_clock'event and pixel_clock = '0') then
if (H_count(2 downto 0) = "001") then
Atr_reg0 <= VD;
end if;
end if;
end process;


--
process (H_count, pixel_clock)
begin

if (pixel_clock'event and pixel_clock = '0') then
if (H_count(2 downto 0) = "111" ) then
Pix_reg1 <= Pix_reg0;
Atr_reg1 <= Atr_reg0;
end if;
end if;
end process;


--Pixel shift
process (H_count(2 downto 0), Pix_reg1)
begin
case H_count(2 downto 0) is
when "000" => Pix <= Pix_reg1(7);
when "001" => Pix <= Pix_reg1(6);
when "010" => Pix <= Pix_reg1(5);
when "011" => Pix <= Pix_reg1(4);
when "100" => Pix <= Pix_reg1(3);
when "101" => Pix <= Pix_reg1(2);
when "110" => Pix <= Pix_reg1(1);
when "111" => Pix <= Pix_reg1(0);
end case;
end process;


--RGB Out
process (pixel_clock, Border, Blank)
begin
if (pixel_clock'event and pixel_clock = '1') then
if (Blank = '0') then
if (Border = '0') then
if ((Flash_count(4) and Atr_reg1(7)) xor Pix) = '1' then
B <= Atr_reg1(0);
R <= Atr_reg1(1);
G <= Atr_reg1(2);
Y <= Atr_reg1(6);
else
B <= Atr_reg1(3);
R <= Atr_reg1(4);
G <= Atr_reg1(5);
Y <= Atr_reg1(6);
end if;
else
B <= Port_FE(0);
R <= Port_FE(1);
G <= Port_FE(2);
end if;
else
B <= '0';
R <= '0';
G <= '0';
end if;
end if;
end process;
end spectrum_arch;

Mick
05.01.2016, 18:42
А что за буква h перед знаком копирайта на первом видео?
Глюк или прошивка такая?

Кстати, а что схемкой то не похвалишься.

Mister
05.01.2016, 18:59
Буква h это глюк, перекочевало из слова research, и похоже перекочевал весь столбец. Еще не разбирался с этим.
Схемы нет, все в голове.
Да и че там той схемы, к процу подключено ПЗУ SST39SF020, ОЗУ UT62256-70, ШД и ША заведены в CPLD и к ней подключено Видео ОЗУ GLT751208-15

Mick
05.01.2016, 19:01
Буква h это глюк, перекочевало из слова research, и похоже перекочевал весь столбец. Еще не разбирался с этим.
Схемы нет, все в голове.
Да и че там той схемы, к процу подключено ПЗУ SST39SF020, ОЗУ UT62256-70, ШД и ША заведены в CPLD и к ней подключено Видео ОЗУ GLT751208-15

Ну я думал что под платой схема лежит. Просто любопытно глянуть на твой вариант спеки.

Mister
05.01.2016, 21:48
Это схема SpeccyBob'a, первый вариант был схемным вводом, потом решил переписать на VHDL

- - - Добавлено - - -

Для записи и чтения Видео ОЗУ имеется двунаправленный порт:

D <= VD when (V_ACC = '1' and VRAM = '0' and RD = '0') else "ZZZZZZZZ";
VD <= D when (V_ACC = '1' and VRAM = '0' and WR = '0') else "ZZZZZZZZ";

Сюда же вклиниваю порт клавиатуры:

D(4 downto 0) <= Key when (IORD = '0') else "ZZZZZ";

Можно ли так делать?
Люди посмотрите пожалуйста код

Alex_LG
05.01.2016, 21:49
Собрал себе вот такой девайс

Круто!


Буква h это глюк, перекочевало из слова research, и похоже перекочевал весь столбец. Еще не разбирался с этим.

Начни с этого - похоже где-то с адресами напутал. Все-таки исходниками придется поделится, а то так тебе никто не сможет помочь, отгадывая, что в коде не так... :)


Пока писал, уже кусок кода выложил :)

Mister
05.01.2016, 21:52
Код в первом сообщении под спойлером

Mister
06.01.2016, 19:33
Сам совсем забыл что девайс работает без подтяжки ШД к +5
С подтяжкой независимо с портом проект или нет имею бесконечный ребут


https://www.youtube.com/watch?v=dGBrfWZbwFk

Подтяжку пробовал делать 10к, 4,7к и 2,2к.
При установленных резисторах 2,2к и не подключенных к +5 эффект тот же

Mick
11.01.2016, 18:48
Ну и я тоже немного отпишусь. Сегодня взялся порисовать платку Альционы, так вот эта многоногая и бестолковая EPM3256 мне весь мозг выморозила.
Понимаешь, не хочется ей разводится как я хочу - пишет все ошибки при компиляции. Вот и пришлось пытаться рассовать ноги так чтобы прошивка смогла собраться.
Это вам понимаете не ПЛИС с кучей ячеек, тут их 256 и как хочешь так и вертись :)
Пришлось выпилить дешифратор Nemo IDE и поставить старую добрую ИД7

Короче пока вот какое мое художество.

http://s017.radikal.ru/i436/1601/be/befef2a616b1t.jpg (http://radikal.ru/f/s017.radikal.ru/i436/1601/be/befef2a616b1t.jpg)


Платка вырисовывается чуть большей чем Зефирка 220x200 против 220x180 :)

Syntal
11.01.2016, 20:15
Вот и пришлось пытаться рассовать ноги так чтобы прошивка смогла собраться.
Это вам понимаете не ПЛИС с кучей ячеек, тут их 256 и как хочешь так и вертись :)
Кстати, а в каком софте собираешь прошивки?

Mick
11.01.2016, 20:35
Кстати, а в каком софте собираешь прошивки?

В MAX Plus II конечно. Я ведь там прошивки писал :)

Totem
11.01.2016, 22:28
хехе, много слойки не любим :) 2 слоя, грят плотность монтажа крутая, по цене как "многослойка" , но софт нужен с поддержкой, "ентих приблуд"
http://www.rubicon-i.ru/index.php?name=content&op=view&id=15
http://www.electronics.ru/files/article_pdf/1/article_1539_659.pdf
http://sinref.ru/000_uchebniki/04600radio/000_osnovi_proizvod_radio_electron_aparaturi_valet ov/028.htm

Mick
11.01.2016, 23:10
Не это уж вы сами, а мы старперы живем в своей кремнеевой ретро-вселенной и чувствуем себя прекрасно. :)

Totem
12.01.2016, 00:13
Не это уж вы сами, а мы старперы живем в своей кремнеевой ретро-вселенной и чувствуем себя прекрасно. :)
зато познавательно :) бебе, мгтф наше все

kox
22.03.2016, 13:16
На работе, в свободное от работы время паял и писал прошивку, в результате вышло вот это:

http://saveimg.ru/thumbnails/22-03-16/49dacbc2e44b06ff68fff688a6e95378.JPG (http://saveimg.ru/show-image.php?id=0113b513de0d12a860e48b9471c7ce6b)
http://saveimg.ru/thumbnails/22-03-16/80b605050292dbb10f63fa720ad65917.JPG (http://saveimg.ru/show-image.php?id=502e15990d66d015d9e9929eca42d696)

По схемотехнике- Speccy Bob, с памятью 128кб и муз. процессором. На плате осталось еще свободное место, вот думаю-может контроллер флопа туда замутить, а то лежит парочка MB8877, да и у CPLD осталась куча свободных ног и почти половина макроячеек.

ЗЫ:
И еще- подскажите пожалуйста правильное положение и длительность INT у оригинального спека.

ЗЫЗЫ:
Как правильно сделать переключатель турбирования процессора?
Механическим переключателем подаю на проц 3,5 или 7 мегагерц, в момент переключения комп виснет, как сделать чтобы ни вис?

AlexG
22.03.2016, 13:55
Как правильно сделать переключатель турбирования процессора?
Механическим переключателем подаю на проц 3,5 или 7 мегагерц, в момент переключения комп виснет, как сделать чтобы ни вис?
1) устранить дребезг переключателя (аля триггер шмидта)
и
2) добавить схему "плавного" перехода с одной частоты на другую. те 0 такт первой частоты должен переключиться на 0 такт второй частоты. дабы длительность тактов 0 и 1 подаваемых на процессор были в допуске для процессора (максимальная тактовая частота оного).

Это если по "феншую".

ну или посмотреть схемы других компов где сиё уже было реализовано.

CodeMaster
22.03.2016, 15:07
По схемотехнике- Speccy Bob

А где эти схемотехнику посмотреть, что-то в лёт не нашёл?


думаю-может контроллер флопа туда замутить

Ну, с "мафона" грузить такой девайс некошерно, над что-то попрегрессивней.

kox
22.03.2016, 15:11
А где эти схемотехнику посмотреть, что-то в лёт не нашёл?
:)Ну так вот же она:
http://sblive.narod.ru/ZX-Spectrum/SpeccyBob/SpeccyBob.htm

kox
01.04.2016, 12:53
Вот и контроллер дисковода допаял:

http://saveimg.ru/thumbnails/01-04-16/b8c346b73643a404b4312095f0b66474.JPG (http://saveimg.ru/show-image.php?id=2fc43ed5f66146a63d3b82d6b26e4a29)

Вообщем наверное пора заканчивать- все поставленные цели достигнуты.:)

Alex_LG
07.04.2016, 17:59
kox, поздравляю! А исходниками и схемами не поделитесь? ;)

kox
07.04.2016, 19:32
Спасибо! Исходники в этом сообщении:
http://zx-pk.ru/showthread.php?t=26386&p=865816&viewfull=1#post865816
Сильно не пинать- делал как умею.:)

tank-uk
07.04.2016, 20:09
Speccy-Max3000.pof это прошивка ПЛИСины ?
и что за золотой таракан на плате?
схема будет ?

kox
07.04.2016, 20:17
Схемы не будет- она в голове, и никакими схемными редакторами я не владею.
Speccy-Max3000.pof- это прошивка.
Золотой таракан- это м1006ви1- читалка с магнитофона.

tank-uk
07.04.2016, 20:25
kox, а как на счет фоток в высоком разрешении с обеих сторон ? по ним можно и схему попытаться нарисовать

- - - Добавлено - - -


Золотой таракан- это м1006ви1
я их в таком корпусе и не видел, только в дип8 , а в таком NE556

kox
07.04.2016, 20:59
В 8 ногом корпусе к1006ви1, а в 14 ногом- м1006ви1, различаются они только корпусом.
Насчет фоток- бесполезно: там такой клубок МГТФ, что фиг его разберешь.
А зачем схема- смотрите на схему speccy bob, это она впринципе и есть, только вместо логики ПЛИС, то есть снаружи ОЗУ, ПЗУ, и проц, остальное в плис. В проекте можно переназначать ноги как вам удобнее.

kox
27.04.2016, 11:24
Собрал эмулятор дисковода, на работе нашел неисправный панельный контроллер, из него вытащил дисплей 5.7 дюймов 320x240 с параллельным 18 битным RGB интерфейсом.
Подключил его к спеку, изображение выводится точка в точку- четкость отменная, как на эмуляторе.:v2_dizzy_punk: http://saveimg.ru/pictures/27-04-16/ff939327594300168c342dfcf5e7f3a8.JPG (http://saveimg.ru)

Mick
28.01.2019, 10:49
Недавно на мешке купил интересную плату от игрового автомата украинской фирмы Extrema M27-SV rev.1.0. Судя по наклееным бумажкам (смылись в процессе мойки), то датируется сия плата 2003 годом. Игра была Treasure Island (не путать с Dizzy).

https://a.radikal.ru/a12/1901/1a/a09c187f99e2t.jpg (https://a.radikal.ru/a12/1901/1a/a09c187f99e2.jpg)

https://d.radikal.ru/d37/1901/b7/9676fa416793t.jpg (https://d.radikal.ru/d37/1901/b7/9676fa416793.jpg)

Так вот первичный анализ показал, что сея плата поинтереснее чем игрософт, но похоже и защищенней тоже.
Маркировка ПЛИС затерта, но путем поиска и сравнения определил что EP1K50QC208 (как в Эве).

Прошивки микросхем, которые удалось скачать: - https://yadi.sk/d/1qp_E__0-IxF3w

Список микросхем:
U1 - Z84C0010PEC
U2 - PT170702 (стабилизатор напряжения +3,3V и +2,5V)
U3 - DS5000T 8-16
U4 - CY7C199-12VC
U5 - 27C040-10
U6 - M27C801-100
U7 - M27C801-100
U8 - EPС1 (маркировка затерта)
U9 - EP1K50QC208 (маркировка затерта)
U10 - EPM3128STC100 (маркировка затерта, возможно и другая надо через JTAG определять)
U11 - ADV7120 (видео ЦАП)
U12 - WF19054 (аналог AY-3-8910)
U13 - неизвестно (либо узкая микруха, либо широкая) DIP24, тактируется кварцем 11.059МГц
U14 - неизвестно SO14
U15 - TDA2003 (усилитель для AY)
U16 - 74HC244D
U17 - 74HC244D
U18 - 74HC244D
U19 - ULN2003A
U20 - ULN2003A
U21 - ULN2003A
U22 - ULN2003A
U23 - 74HC00M
U24 - 74HC04M
U25 - неизвестно DIP28
U26 - неизвестно SO18
U27 - CY7C199-12VC
U28 - 74F04D

Кварцы
X1 - генератор KXO-200 36.000МГц
X2 - резонатор 11.059МГц
X3 - резонатор 11.059МГц

Разъем питания CON1 совпадает с платами от Игрософта.
При подаче питания, ничего не сгорело, но и изображения нет. Без осцила не известно, что работает, а что нет. Но напряжения питания на ПЛИС и других микрухах присутствует.

Из этой платы весьма могущественный спек может выйти, но пока желания курочить нет.
Прошивки я конечно скачал, какие можно. DS5000T это контроллер x51 совместимый с криптозащитой - считать не удалось

Кто что знает об это плате, поделитесь.

JV-Soft
28.01.2019, 14:40
При подаче питания, ничего не сгорело, но и изображения нет.
Может ей куда то RAM надо ?

Mick
28.01.2019, 14:51
Может ей куда то RAM надо ?

Скажем у нее и так две микрухи SRAM по 32кб. Я полагаю либо тупо ПЛИС не загрузилась, там насколько понял, прошивка с мелкой EPC1 не считалась. Возможно надо джампер JP1 надеть. Надо в общем тыкать осцилом. Но для начала собрать больше инфы. Может кто встречал такое чудо или что про нее знает.

JV-Soft
28.01.2019, 15:36
Скажем у нее и так две микрухи SRAM по 32кб.
Я по списку подумал ,что - CY это контроллеры.

siemensC35
28.01.2019, 18:55
Недавно на мешке купил интересную плату от игрового автомата украинской фирмы Extrema M27-SV rev.1.0
заинтересовала тема, начал на месном сайте продаж смотреть подобное. Нашел вооот такую фигню (https://www.olx.ua/obyavlenie/platy-igrovye-IDl4dKg.html)
скореее всего родич

Mick
28.01.2019, 19:52
Нашел вооот такую фигню
скореее всего родич

Скорее всего да, только версия посвежее. rev 2.0

- - - Добавлено - - -

Добавил ссылку на скаченные прошивки, что типа получилось.
Вопрос пока возник с прошивкой U5 (27C040) - не нашел ни одной надписи. Либо она зашифрована, лиюо возможно шина данных как нибудь перепутана.
Ну или либо, надписи выводят картинками.

siemensC35
28.01.2019, 20:00
Скорее всего да,
если что могу спросить если возникнут вопросы у продавца.
Вопрос, все там же нашел платы игрософта по дешевке. Если собирать ZXM-IGP1.0 не выводя Нэмо-бус то "желтый МГТФ" не нужет ? ( Пы.Сы. В дебрях ПЛМов не образован особо)

Mick
28.01.2019, 21:11
Если собирать ZXM-IGP1.0 не выводя Нэмо-бус то "желтый МГТФ" не нужет ?

В целом да, а так лучше погляди по схеме.

Где то в июле Иван Р. Р. связывался со мной по поводу превращения IGP в Спек, он правда не стал заморачиваться с клавой PS2, а подсоединил обычную матрицу.
Вот ссылка на фото его вариантов переделки - https://yadi.sk/d/W_njaDKcL6qSew

- - - Добавлено - - -


если что могу спросить если возникнут вопросы у продавца.

Собсвенно интересует, что у него на них есть. Конечно маловероятно, но вдруг какая то инфа

siemensC35
28.01.2019, 21:35
В целом да, а так лучше погляди по схеме.
пока не с компьютера поэтому не могу поглядеть увы
Платы находятся в Харькове, написал JV-Soft-у , думаю он не будет против разжиться платой за небольшие суммы

По поводу "зеленых плат" хозяина спросил обладает ли он какой-то документацией на них, ждем ответа, отпишем если будет движение

JV-Soft
28.01.2019, 23:50
Платы находятся в Харькове, написал JV-Soft-у , думаю он не будет против разжиться платой за небольшие суммы
Мне они интереса большого не представляют , как то проплывало очень много ,но там стояли Z80 на 6мГц , поэтому не заинтересовали (были бы на 10-20мГц другое дело) , а плисинами я не занимался тогда, недавно только как амигу купил начал xilinx колупать.
А цена у нас на платы игрософта небольшая , 5-8$ за плату.

siemensC35
06.02.2019, 21:21
В целом да, а так лучше погляди по схеме.
Вопрос, а чем зашивать вообще ?
За зеленые платы хозяин проморозиллся увы (
----------------
Собственно погуглил, на програматор нормальный не охота тратиться т.к он стоит дороже всей платы игрософта..
Что-то додноразовое по типу прошить от СОМ порта существует ?

zebest
06.02.2019, 23:06
на програматор нормальный не охота тратиться т.к он стоит дороже всей платы игрософта..неужто меньше 3$ плата??
кЕтайцы за шапку сухарей предлагают
https://ru.aliexpress.com/item/Usb-ALTERA-CPLD-FPGA-arduino/32831280653.html?spm=a2g0v.10010108.1000014.2.7a44 b9bd8IQYSD&pvid=0164df33-43a5-4c3e-ac2e-fe10465d955f&gps-id=pcDetailBottomMoreOtherSeller&scm=1007.13338.115306.0&scm-url=1007.13338.115306.0&scm_id=1007.13338.115306.0

siemensC35
07.02.2019, 08:50
zebest, на один раз сильно жирно, внутренний еврей не даст мне покоя

siemensC35
11.02.2019, 11:45
Игрушка пришла, можно страдать фигней)))
Цена вопроса 5.53$
Пока остановился на сборке сия (http://we.easyelectronics.ru/plis/usb-blaster.html) изделия т.к есть Пика точеная ))
Пока два вопроса:
1) SIMM-30 можно прикрутить ?
2) Подключив монитор и подав питание можно уточнить работоспособность иль на корзине еще что-то должно быть ?
https://i.postimg.cc/qhh5mxCQ/IMG-20190211-101825.jpg (https://postimg.cc/qhh5mxCQ)https://i.postimg.cc/wtbrcG37/IMG-20190211-101843.jpg (https://postimg.cc/wtbrcG37)

Mick
11.02.2019, 13:20
1) SIMM-30 можно прикрутить ?

Можно, но на проводах - прошивку тогда надо новую, ибо все что было - для cтатики


2) Подключив монитор и подав питание можно уточнить работоспособность иль на корзине еще что-то должно быть ?

Если просто проверить что плата рабочая, то можно подключить к монитору на экране должно быть демо той игры, которая прошита. Если конечно данные в микурхе Timekeeper не повреждены, иначе вроде только надпись будет - что мол все плохо.
Для входа в сервис меню, там надо на разъеме определенные кнопки нажать - в теме вроде об этом писалось.

siemensC35
11.02.2019, 14:19
Если конечно данные в микурхе Timekeeper не повреждены, иначе вроде только надпись будет - что мол все плохо.
Hard Test pased .... Init machine . RTC я так понял мертва

Mick
11.02.2019, 15:30
Hard Test pased .... Init machine . RTC я так понял мертва

При нормальной микрухе - https://zx-pk.ru/threads/25427-klon-zx-spectrum-na-plate-ot-igrovogo-avtomata.html?p=822784&viewfull=1#post822784
При не нормальной микрухе - https://zx-pk.ru/threads/25427-klon-zx-spectrum-na-plate-ot-igrovogo-avtomata.html?p=825353&viewfull=1#post825353 и далее читать посты

siemensC35
11.02.2019, 15:41
уже пол часа сижу читаю как раз но всеравн спасибо, сбросил настройки, теперь скачет гном что-то там
-------------
походу ямаха пригоревшая у этой платы ибо звук тихий, заменил на аю, сразу громко стало(

siemensC35
21.02.2019, 16:31
При нормальной микрухе
У меня здесь пара вопросов нарисовалась по ходу движения:
1) на платах есть EPM7032/3032/3064, они обычными средствами ( юсб бластер и пр. ) перешиваемы для каких либо поделок или заблокированы ?
2) какая прошивка устанавливается заместо главного рома ? 128к класическая иль что-то из ZXM проектов
3) как правильно реализовать озу на 4 62256 ?

Mick
22.02.2019, 09:38
У меня здесь пара вопросов нарисовалась по ходу движения:
1) на платах есть EPM7032/3032/3064, они обычными средствами ( юсб бластер и пр. ) перешиваемы для каких либо поделок или заблокированы ?
2) какая прошивка устанавливается заместо главного рома ? 128к класическая иль что-то из ZXM проектов
3) как правильно реализовать озу на 4 62256 ?


1) Они залочены и просто так не снимешь блокировку.

2) По сути можно и свою, только надо придерживаться правильной раскладки банков памяти. Либо изменить менеджер банок в прошивке.
Сейчас раскладка такая:
Страницы
0 - резерв
1 - резерв
2 - SOS (по сути переход в меню Basic 128)
3 - резерв
4 - Fatall 025 (или резерв)
5 - TR-DOS
6 - Basic 128
7 - Basic 48
Тоесть чисто для спектрума, можно изменить менеджер банок ПЗУ перенеся страницы 4...7 на место 0...3

3) Нужно правильно распределить сигналы выбора микрух ОЗУ. Проще завести все CS/ на CPLD, тоесть для 128 машины, нужно 4 микросхемы 62256 и с каждой микросхемы взять сигнал CS/ и завести их на CPLD (4 разных сигнала). При этом все равно придется править код CPLD

PavelZX
14.09.2020, 14:00
В конце 2015 удалил большую часть своих постов, тема и так получилась слишком раздута. Mick увёл её, в связи со своими собственными интересами, немного в сторону, стараясь как можно больше совместить со своими проектами. У меня тогда просто не было возможности развивать свою идею, возникли технические трудности и много чего ещё произошло за эти годы. Было не до Спектрума, ламповых технологий и прочее...

Платы остались в Хабаровске (надеюсь их не выкинули вслед за коллекцией моторчиков, собираемой до того не один десяток лет), а я сейчас живу в отдалённом от больших городов небольшом селе в Белгородской области, на границе с Воронежской и Украиной. Этим летом решил немного отвлечься от изучения веб-разработки, сделал небольшую ревизию своих задумок по станкам и разного рода автоматики.

Так или иначе, в основе создания этой темы было изучение CPLD и FPGA, совмещая это с созданием чего-то полезного, используя популярную в данном сообществе платформу Спектрума. Возможно 5 лет не срок (для олдскульного сообщества) и тему можно поднят вновь. Если кто-то поддержит, будет не плохо.

Сейчас меня интересует, если какой-то репозиторий, где бы были собраны сорцы различных функциональных блоков для реализации клонов Спектрума и других старых платформ в CPLD и FPGA, чтоб их можно было варьировать для реализации тех или иных задач. У меня не сохранилось ни чего, что я 5 лет назад делал, не считая того, что есть тут на форуме. Хотя есть какая-то папка на компе, но та всё до кучи, что связано с темой.

PavelZX
15.09.2020, 17:29
Немного покопавшись по форуму увидел такую разработку https://zx-pk.ru/threads/27724-karabas-128.html так что можно воспользоваться наработками автора https://github.com/andykarpov/karabas-128

solegstar
16.09.2020, 16:38
Когда я прикидывал идею переделки платы игрового автомата в Спек, то пришел к выводу, что проще сделать новую плату, чем переделывать прошивки для текущей, тем более часть микросхем лочены и не понятно получилось бы их разлочить. Получилось использовать детали с платы игрового автомата: процессор, память, пзушку, муз.процессор и конечно плис. хотелось маленький девайс и в итоге получился такой IgroSpec:

https://drive.google.com/uc?export=download&id=10PPRXuJynC6Se7OayyHzFbSGM3TJxKQU
https://drive.google.com/uc?export=download&id=1Yll0Qx9bGT3vsh9dqyfgi3y4b2fQmgql
https://drive.google.com/uc?export=download&id=1fWRTBWHAvKIvdD1d9IjQ5-2soJ8ItdPX

Плата может работать в режиме 48кб спека на памяти с платы игрового автомата, если хочется больше 128кб-1024кб, то соотв. необходимо докупить микросхемы памяти. На фото пока первая версия платы с недочетами, во второй версии платы уже всё поправлено. скоро опубликую информацию по нему.

PavelZX
17.09.2020, 19:24
Белый разъём под клавиатуру? Здорово, я бы купил такую плату, с набором разъёмов, тем более, что остальное у меня вроде есть...

А частоту на PAL кодер нельзя с CPLD получить, чтоб дополнительный кварц не делать? Мне то RGB хватит, просто интересно...

Eltaron
17.09.2020, 19:42
хотелось маленький девайс и в итоге получился такой IgroSpec
В 10х10 см влезло, похоже?

solegstar
17.09.2020, 20:21
В 10х10 см влезло, похоже?
это была одна из задумок и такой себе челендж. ;)

coffee
17.09.2020, 21:01
Когда я прикидывал идею переделки платы игрового автомата в Спек, то пришел к выводу, что проще сделать новую плату, чем переделывать прошивки для текущей, тем более часть микросхем лочены и не понятно получилось бы их разлочить. Получилось использовать детали с платы игрового автомата: процессор, память, пзушку, муз.процессор и конечно плис. хотелось маленький девайс и в итоге получился такой
На самом деле использовать старые детали (даже если они достались бесплатно) - себе дороже. У вас 2 чипа в DIP корпусе: Sram (samsung) на 128кБ в сумме, так? Я на Али брал 128х8-45нс в TSOP корпусе за 0.4$ с бесплатной доставкой (по моему даже 2шт за эти деньги - лень проверять). Аналогично стоит еепром, но в смд. Проц z80 в дипе около бакса, в смд подороже немного. CPLD на 144 пина стоит 2-3 бакса. К чему это я? Если забить на старье и нарисовать плату под нормальные смд детали, то вы бы все разместили не на 2 платах "бутербродом", а на одной (и меньших размеров) и в сумме расходы бы были меньше (для единичного образца - точно).
Не обижайтесь, плиз, это не наезд, это дружеский совет. По разводке и разным мелочам видно, что вы красиво работаете. Просто вначале оцените разные варианты...

CodeMaster
17.09.2020, 21:05
solegstar, а зачем там и CF и SD?


и в сумме расходы бы были меньше
В хобби вопрос сравнения расходов редко бывает основным.

tank-uk
17.09.2020, 21:46
то вы бы все разместили не на 2 платах "бутербродом", а на одной (и меньших размеров)
это уже было
пример 1: https://zx-pk.ru/threads/30806-karabas-nano.html?p=1024170&viewfull=1#post1024170
пример 2: https://zx-pk.ru/threads/30806-karabas-nano.html?p=1038536&viewfull=1#post1038536
пример 3: https://zx-pk.ru/threads/32074-buryak-pi-2020.html?p=1074213&viewfull=1#post1074213
а у Олега была задумка максимально заюзать комплектуху игромира

- - - Добавлено - - -


Белый разъём под клавиатуру?
это CompactFlash

- - - Добавлено - - -


а зачем там и CF и SD?
SD - Z контроллер
CF - наверное для режима Profi

Eltaron
17.09.2020, 22:26
вы бы все разместили не на 2 платах "бутербродом", а на одной
Так ведь оно и так на одной. Вторая, с CPLD, это часть игрового автомата.

Ну и в целом, подобные разумные ограничения типа "сделать спектрум, задействовав только детали игрософта", или "сделать спектрум под корпус распбери пая", или там "спектрум в размер флоппи-дисковода" - это же именно то, что делает разные клоны уникальными. Иначе все клоны были бы как клоны, простите за каламбур :)

А конкретно этот вариант ценнен ещё и тем, что это простой способ прикоснуться к относительно современному спекоделанью тем, кто не может паять многоногие тараканы с шагом 0.5, потому что боится/зрение не позволяет/руки трясутся. А тут купил кишки от автомата за 10 баксов и заказал нижнюю плату за 5 - и вполне бюджетно вкатился в CPLD.

coffee
18.09.2020, 01:02
solegstarВ хобби вопрос сравнения расходов редко бывает основным.
Не спорю. Но по сути это новый клон, новодел. И вероятно единичный(ые) экземпляр(ы). С двумя платами не только расход по изготовлению и деталям больше, главное - расход времени. Ну я понимаю AY, ну Zilog снять с плат-доноров, но остальное? Это мусор, что удорожает продукт и удлиняет разработку.

- - - Добавлено - - -


А конкретно этот вариант ценнен ещё и тем, что это простой способ прикоснуться к относительно современному спекоделанью тем, кто не может паять многоногие тараканы с шагом 0.5, потому что боится/зрение не позволяет/руки трясутся
У меня и возраст и зрение и проч. Но паять tqfp 0.5 проще и быстрее dip. Больше флюса, даже присматриваться не надо. Посадил на пару точек, с лупой проверил, потом просто ведешь жалом по всей стороне. Ну местами снял излишки припоя. Ерунда. А вот припаять китайскую дип-панельку (они её из г-на и палок делают - это подольше занятие. Впрочем.. не настаиваю.

- - - Добавлено - - -


это уже было
Знаю что было, смотрел, понравилось. У меня самого пара клонов 100х90 и 80х60. Поэтому и подсказываю автору, что не всегда дешевые (халявные) детали - это общий выигрыш по цене и времени.

Mick
18.09.2020, 07:53
А тут купил кишки от автомата за 10 баксов и заказал нижнюю плату за 5 - и вполне бюджетно вкатился в CPLD

Ну собственно некоторые пошли чуть дальше. Но верхнюю плату от игрософта вполне можно использовать. :) - https://zx-pk.ru/threads/28023-kompyuter-quot-zxm-jasper-quot-obsuzhdenie.html

PavelZX
18.09.2020, 10:02
В хобби вопрос сравнения расходов редко бывает основным.

Да. Заметил за собой такое. Жаба душит меня, душит... Потом находит что-то и всё что есть просаживаешь на непонятно что)