Вход

Просмотр полной версии : Karabas-128



Страницы : 1 [2] 3 4

andykarpov
14.09.2017, 11:51
а как вы порт 0xFE готовите ? - с дешифрацией полного младшего байта адреса или только его бит 0 ?
если только нулевой бит - то может и влезет.
Только 0-й бит.
Но, как показало исследование, мой безголовый quartus что-то не то делает :)
Безголовый - всмысле стоит в виртуалке под linux'ом, по ssh из makefile дергаю только его консольные команды, типа quartus_asm, quartus_fit и т.п.


quartus_sh --prepare -f "MAX7000S" -t karabas_128 karabas_128_revA
Info: ************************************************** *****************
Info: Running Quartus II 32-bit Shell
Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved.
Info: Your use of Altera Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Altera Program License
Info: Subscription Agreement, Altera MegaCore Function License
Info: Agreement, or other applicable license agreement, including,
Info: without limitation, that your use is for the sole purpose of
Info: programming logic devices manufactured by Altera and sold by
Info: Altera or its authorized distributors. Please refer to the
Info: applicable agreement for further details.
Info: Processing started: Thu Sep 14 09:32:19 2017
Info: Command: quartus_sh --prepare -f MAX7000S -t karabas_128 karabas_128_revA
Info: Quartus(args): -f MAX7000S -t karabas_128 karabas_128_revA
Info: /vagrant/altera/13.0sp1/quartus/common/tcl/internal/qsh_prepare.tcl version #1
Info (125061): Changed top-level design entity name to "karabas_128"
Info (23030): Evaluation of Tcl script /vagrant/altera/13.0sp1/quartus/common/tcl/internal/qsh_prepare.tcl was successful
Info: Quartus II 32-bit Shell was successful. 0 errors, 0 warnings
Info: Peak virtual memory: 119 megabytes
Info: Processing ended: Thu Sep 14 09:32:29 2017
Info: Elapsed time: 00:00:10
Info: Total CPU time (on all processors): 00:00:05
cat revA >> karabas_128_revA.qsf
quartus_sh --determine_smart_action karabas_128_revA > smart.log
echo done > asm.chg
echo done > fit.chg
quartus_map --read_settings_files=on --source=karabas_128.vhd karabas_128_revA
Info: ************************************************** *****************
Info: Running Quartus II 32-bit Analysis & Synthesis
Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved.
Info: Your use of Altera Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Altera Program License
Info: Subscription Agreement, Altera MegaCore Function License
Info: Agreement, or other applicable license agreement, including,
Info: without limitation, that your use is for the sole purpose of
Info: programming logic devices manufactured by Altera and sold by
Info: Altera or its authorized distributors. Please refer to the
Info: applicable agreement for further details.
Info: Processing started: Thu Sep 14 09:33:13 2017
Info: Command: quartus_map --read_settings_files=on --source=karabas_128.vhd karabas_128_revA
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (12021): Found 2 design units, including 1 entities, in source file karabas_128.vhd
Info (12022): Found design unit 1: karabas_128-rtl
Info (12023): Found entity 1: karabas_128
Info (12127): Elaborating entity "karabas_128" for the top level hierarchy
Warning (10540): VHDL Signal Declaration warning at karabas_128.vhd(126): used explicit default value for signal "sync_mode" because signal was never assigned a value
Info (19000): Inferred 1 megafunctions from design logic
Info (19001): Inferred lpm_counter megafunction (LPM_WIDTH=5) from the following logic: "invert_rtl_0"
Info (278001): Inferred 2 megafunctions from design logic
Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add0"
Info (278002): Inferred adder/subtractor megafunction ("lpm_add_sub") from the following logic: "Add2"
Info (12130): Elaborated megafunction instantiation "lpm_counter:invert_rtl_0"
Info (12133): Instantiated megafunction "lpm_counter:invert_rtl_0" with the following parameter:
Info (12134): Parameter "LPM_WIDTH" = "5"
Info (12134): Parameter "LPM_DIRECTION" = "UP"
Info (12134): Parameter "LPM_TYPE" = "LPM_COUNTER"
Info (12130): Elaborated megafunction instantiation "lpm_add_sub:Add0"
Info (12133): Instantiated megafunction "lpm_add_sub:Add0" with the following parameter:
Info (12134): Parameter "LPM_WIDTH" = "6"
Info (12134): Parameter "LPM_DIRECTION" = "ADD"
Info (12134): Parameter "LPM_REPRESENTATION" = "UNSIGNED"
Info (12134): Parameter "ONE_INPUT_IS_CONSTANT" = "YES"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|a_csnbuffer:oflow_n ode", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|a_csnbuffer:result_ node", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|addcore:adder[0]", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|addcore:adder[0]|a_csnbuffer:oflow_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|addcore:adder|addcore:adder[0]|a_csnbuffer:result_node", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:result_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (12131): Elaborated megafunction instantiation "lpm_add_sub:Add0|altshift:carry_ext_latency_ffs", which is child of megafunction instantiation "lpm_add_sub:Add0"
Info (13014): Ignored 14 buffer(s)
Info (13019): Ignored 14 SOFT buffer(s)
Info (21057): Implemented 218 device resources after synthesis - the final resource count might be different
Info (21058): Implemented 33 input pins
Info (21059): Implemented 25 output pins
Info (21060): Implemented 22 bidirectional pins
Info (21063): Implemented 128 macrocells
Info (21073): Implemented 10 shareable expanders
Info: Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 2 warnings
Info: Peak virtual memory: 350 megabytes
Info: Processing ended: Thu Sep 14 09:33:41 2017
Info: Elapsed time: 00:00:28
Info: Total CPU time (on all processors): 00:00:14
echo done > fit.chg
quartus_fit --part=EPM7128STC100-15 --read_settings_files=on karabas_128_revA
Info: ************************************************** *****************
Info: Running Quartus II 32-bit Fitter
Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition
Info: Copyright (C) 1991-2013 Altera Corporation. All rights reserved.
Info: Your use of Altera Corporation's design tools, logic functions
Info: and other software and tools, and its AMPP partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Altera Program License
Info: Subscription Agreement, Altera MegaCore Function License
Info: Agreement, or other applicable license agreement, including,
Info: without limitation, that your use is for the sole purpose of
Info: programming logic devices manufactured by Altera and sold by
Info: Altera or its authorized distributors. Please refer to the
Info: applicable agreement for further details.
Info: Processing started: Thu Sep 14 09:33:54 2017
Info: Command: quartus_fit --part=EPM7128STC100-15 --read_settings_files=on karabas_128_revA
Info: qfit2_default_script.tcl version: #1
Info: Project = karabas_128_revA
Info: Revision = karabas_128_revA
Warning (20028): Parallel compilation is not licensed and has been disabled
Info (119006): Selected device EPM7128STC100-15 for design "karabas_128_revA"
Warning (15705): Ignored locations or region assignments to the following nodes
Warning (15706): Node "TCK" is assigned to location or region, but does not exist in design
Warning (15706): Node "TDI" is assigned to location or region, but does not exist in design
Warning (15706): Node "TDO" is assigned to location or region, but does not exist in design
Warning (15706): Node "TMS" is assigned to location or region, but does not exist in design
Warning (163076): Macrocell buffer inserted after node "z80_clk"
Error (163105): Cannot route source node "block_reg" of type max_mcell to destination node "z80_clk~11" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 123
Error (163105): Cannot route source node "attr[0]" of type max_mcell to destination node "attr[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[0]" of type max_mcell to destination node "attr_r[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[1]" of type max_mcell to destination node "attr[1]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[1]" of type max_mcell to destination node "attr_r[1]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[2]" of type max_mcell to destination node "attr[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[2]" of type max_mcell to destination node "attr_r[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[5]" of type max_mcell to destination node "attr[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr[5]" of type max_mcell to destination node "attr_r[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "shift[2]" of type max_mcell to destination node "shift[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "shift[2]" of type max_mcell to destination node "chr_col_cnt[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "shift[4]" of type max_mcell to destination node "shift[4]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "shift[4]" of type max_mcell to destination node "port_7ffd[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 295
Error (163105): Cannot route source node "attr_r[3]" of type max_mcell to destination node "VIDEO_B~reg0" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 370
Error (163105): Cannot route source node "shift_r[0]" of type max_mcell to destination node "shift_r[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 370
Error (163105): Cannot route source node "shift_r[0]" of type max_mcell to destination node "shift_r[1]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 370
Error (163105): Cannot route source node "border_attr[2]" of type max_mcell to destination node "border_attr[2]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 398
Error (163105): Cannot route source node "border_attr[2]" of type max_mcell to destination node "VIDEO_G~17" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 398
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "lpm_counter:invert_rtl_0|dffs[0]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "paper_r" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_VSYNC~4" of type max_sexp File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_VSYNC~5" of type max_sexp File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_SYNC~15" of type max_sexp File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_SYNC~24" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_VSYNC~13" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "VIDEO_SYNC~31" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "ver_cnt[4]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "ver_cnt[5]" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "N_INT~11" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "ver_cnt[5]" of type max_mcell to destination node "z80_clk~11" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 224
Error (163105): Cannot route source node "VIDEO_SYNC~24" of type max_mcell to destination node "VIDEO_SYNC~reg0" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 48
Error (163106): Cannot route source node "VIDEO_R~en" of type max_mcell to the OE port of destination node "VIDEO_R" of type max_io File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
Error (163106): Cannot route source node "VIDEO_R~en" of type max_mcell to the OE port of destination node "VIDEO_G" of type max_io File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
Error (163106): Cannot route source node "VIDEO_R~en" of type max_mcell to the OE port of destination node "VIDEO_B" of type max_io File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
Error (163105): Cannot route source node "VIDEO_R~en" of type max_mcell to destination node "VIDEO_R~en" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 324
Error (163104): Can't place node "VIDEO_SYNC~31" of type max_mcell File: /vagrant/karabas-128/cpld/karabas_128.vhd Line: 48
Error (163000): Cannot find fit.
Error: Quartus II 32-bit Fitter was unsuccessful. 37 errors, 7 warnings
Error: Peak virtual memory: 285 megabytes
Error: Processing ended: Thu Sep 14 09:34:13 2017
Error: Elapsed time: 00:00:19
Error: Total CPU time (on all processors): 00:00:11

shurik-ua
14.09.2017, 12:25
попробуй эту строчку

port_access <= '1' when N_IORQ = '0' and N_RD = '0' and N_M1 = '1' and BUS_N_IORQGE /= '1' else '0';
заменить на

port_access <= '1' when N_IORQ = '0' and N_RD = '0' and N_M1 = '1' and BUS_N_IORQGE = '0' else '0';

так как даже если сигнал BUS_N_IORQGE будет в Z-состоянии - то в плис он попадёт как 0 или 1 в зависимости от того куда подтянут резистор на входе.

andykarpov
14.09.2017, 14:10
UPD: нашел багу в своем Makefile.
В quartus_sh --prepare не передавался device (ключик -d), поэтому там вставлялось в qsf-файл DEVICE "Auto".
Поправил, все заплясало-заиграло :)

solegstar
14.09.2017, 17:03
еще немного тестов:
для ULA48
http://savepic.net/9956359m.jpg (http://savepic.net/9956359.htm) http://savepic.net/9950232m.jpg (http://savepic.net/9950232.htm)
http://savepic.net/9943064m.jpg (http://savepic.net/9943064.htm) http://savepic.net/9931800m.jpg (http://savepic.net/9931800.htm)
для ULA128
http://savepic.net/9929752m.jpg (http://savepic.net/9929752.htm) http://savepic.net/9935896m.jpg (http://savepic.net/9935896.htm)
как видно у нас очень похоже на ULA48, но вот с задержками по тактам надо бы разбираться, это те, которые 6-5-4-3-2-1 и т.д. в определенный момент на экране. по ULA128 - оно и понятно, что будет сдвинуто, т.к. в 128 машине тактов не 69888, а 70,5 тыс. надо бы описание экранной области фирменного 128кб спека раздобыть.

NiKa
14.09.2017, 19:04
Вот спасибо, порадовали! минута на перепрошивку и Карабас заиграл новыми красками, еще немного усилий и можно будет получить Snow Effect.
З.Ы. Перестал запускаться Robocop 3:(

solegstar
14.09.2017, 22:09
З.Ы. Перестал запускаться Robocop 3
Может ему тактов не хватает и у него стоит какая-то автоопределялка модели. в пенте ведь больше тактов, чем в оригинале 128кб на 1000 почти, а тут в фирменном под 48кб наоборот получается... 69888.

andykarpov
15.09.2017, 11:13
Закоммитил в https://github.com/andykarpov/karabas-128 Makefile для автоматической сборки и собранные pof для режима pentagon и classic (тот режим, который имеется на сегодняшний день, а-ля 48к с эмуляцией медленной памяти от solegstar).

NiKa
17.09.2017, 16:09
andykarpov, В чем отличие последнего pof для режима pentagon от предыдущих выложенных вами pof?

andykarpov
17.09.2017, 18:16
andykarpov, В чем отличие последнего pof для режима pentagon от предыдущих выложенных вами pof?
Принципиально - не должно быть каких-либо видимых отличий.
Собрано на базе правок в исходниках от solegstar, там помимо логики переключения режимов есть несколько косметических правок.
А что, что-то не работает ?

NiKa
17.09.2017, 18:33
Да я как раз и не пробовал, просто сравнив файлы увидел, что они отличаются поэтому и спросил.
Мне вообще идея применения Альтеры понравилась тем, что можно просто перепрошивкой менять много чего, и вот вопрос: у фирменных машин раздельное поле памяти и тактовая частота чуть выше, будет ли это препятствием для достижения большей совместимости на данной версии вашей платы?

solegstar
18.09.2017, 08:19
у фирменных машин раздельное поле памяти и тактовая частота чуть выше, будет ли это препятствием для достижения большей совместимости на данной версии вашей платы?
Вообще, если делать действительно полную совместимость с фирменной ULA128, допустим под оригинал 128КБ, то кварц надо будет менять на 14.1876МГц и переписывать прошивку под эти условия:

The main processor runs at 3.54690 MHz, as opposed to 3.50000 MHz.
There are 228 T-states per scanline, as opposed to 224.
There are 311 scanlines per frame, as opposed to 312.
There are 63 scanlines before the television picture, as opposed to 64.
there are 70908 T states per frame.

Кварц получается чуть больше 14МГц, но и меньше часто встречаемого 14.3. Надо пробовать вообщем. Ну а пока вариант с пентагоном и практически фирменной ULA48 в одной прошивке мне нравится больше. Надо подумать, как их переключать на лету, без перепрошивки.

andykarpov
18.09.2017, 10:20
Надо подумать, как их переключать на лету, без перепрошивки.
vlad предлагал заюзать для переключения между турбо-режимом и обычным - клавиатуру. Для переключения между пентагоном и классическим режимом можно придумать тоже какую-нибудь хитрую комбинацию (типа CS+SS+1, CS+SS+2) и по ней переключать :) Это чтобы дополнительные кнопки не городить.

tank-uk
19.09.2017, 17:07
Тогда уж лучше 1+reset, 2+reset

Отправлено с моего JY-S2 через Tapatalk

solegstar
20.09.2017, 18:55
Посмотрел схему и вот с ресетом похоже будет проблема - шина адреса при сбросе в z-состоянии, соотв. Транзисторы клавиатуры закрыты и на разъёме клавиатуры после них подтяжка к 5в через RN3. Второй разъём клавиатуры KBx тоже подтянут к 5в через RN2. Как вычислить какая клавиша при этом нажата на клавиатуре? У кого какие идеи будут?

OrionExt
20.09.2017, 19:03
А я то думал там клава ЗЫ/2 рулит. Точно не взлетит:)

Поставить отдельно кнопку.

Матрица вся забита. И ножки видимо. Тут требуется особо извращенный подход:) Сори.

solegstar
20.09.2017, 20:31
Взлетит, если допаять диод с ресета на нужный бит адреса, т.е. При 0 на ресете этот же 0 появляется через диод на пине клавиатуры и плис его считывает, но так не хочется ради этого ещё и паять..

- - - Добавлено - - -

С другой стороны появляется возможность повесить 5 кнопок от 1 до 5 на комбинации с Ресетом. Допустим на кнопку 3 можно повесить сброс сразу в 48кб с блокировкой верхней памяти. Надо попробовать реализовать в прошивке, а то вдруг не влезет всё задуманное. :)

omercury
20.09.2017, 22:44
Взлетит, если допаять диод с ресета на нужный бит адреса, т.е. При 0 на ресете этот же 0 появляется через диод на пине клавиатуры и плис его считывает
И каким образом прц прочитает порт, пока находится в сбросе? :eek:

solegstar
20.09.2017, 23:41
И каким образом прц прочитает порт, пока находится в сбросе? :eek:
а зачем нам проц, если регистр будет в плис? проц тут вообще не причем.

tank-uk
21.09.2017, 11:49
Ещё вариант, вместе с режимом переключать ПЗУ, или вместе с ПЗУ переключать режим

Отправлено с моего JY-S2 через Tapatalk

solegstar
21.09.2017, 13:56
Ещё вариант, вместе с режимом переключать ПЗУ, или вместе с ПЗУ переключать режим
Для этого нужны еще свободные выводы ПЛИС. их у нас и так нет. а пока посмотрите такой вариант переключалки, вечером проверю:

process( CLK14 )
begin
if CLK14'event and CLK14 = '1' then
if N_RESET='0' then
if KB="11110" then -- "1" key pressed
sync_mode <= "01";
elsif KB="11101" then -- "2" key pressed
sync_mode <= "00";
end if;
end if;
end if;
end process;

tank-uk
21.09.2017, 14:00
У нас есть переключалка банков ПЗУ, к ней можно и привязаться, просто поставить переключатель и считывать ПЛИСой какая банка активна, всего один провод к ПЛИСе от ПЗУ и переключатель

Отправлено с моего JY-S2 через Tapatalk

solegstar
21.09.2017, 14:28
Для этого нужны еще свободные выводы ПЗУ. их у нас и так нет.
вот тут я ошибся, я про ПЛИС писал. думаю об одном, пишу о другом.)

solegstar
21.09.2017, 22:30
Итак, исходный код для переключение видеорежимов по клавишам 1 и 2 при сбросе работает. 1 - пентагон. 2 - фирменный стандарт ula48. Из доработок - один диод и перепрошивка ПЛИС на последнюю прошивку. Диод припаивается катодом к сигналу reset, анодом к 1му выводу разъёма kbd rows. Диод удобно расположить между разъёмом и ау, сигнал сброса снимать соотв. с вывода аушки. Как на фото.
http://savepic.net/10001725.jpg
UPD: перезалил прошивку. исходники выслал Андрею)

andykarpov
22.09.2017, 00:04
исходники выслал Андрею)

Спасибо, круто!!! изменения вылил в git: https://github.com/andykarpov/karabas-128
Теперь прошивка снова одна. По-умолчанию - режим экрана пентагона.
Без диода переключаться между режимами по RESET+1, RESET+2 не будет.

solegstar
22.09.2017, 08:17
По-умолчанию - режим экрана пентагона.
у тебя получилось сделать по умолчанию пентагон с помощью начальной установки сигнала sync_mode? у меня всё время сначала идет ula48... как-будто sync_mode устанавливается в '0' всегда. хоть бери и условия переключения меняй для пента и классики.

Без диода переключаться между режимами по RESET+1, RESET+2 не будет.
еще была мысль сделать без диода:
- выставлять МА11 в ПЛИС в 0 при ресете;
- выставлять N_A_GATE_FN в 0 при ресете;
- отрезать 1 вывод IC5 от +5В и подать на него /RESET, чтобы при сбросе менялось направление буфера...
И это бы сработало до момента, пока не подключено внешнее устройство с захватом шины адреса. вот тогда будут проблемы, поэтому от этой идеи отказался. ведь не известно, как ведет себя внешнее устройство при сбросе.

Anubis_OD
22.09.2017, 08:32
Почти закончил сборку своего Карабасика.

http://i93.fastpic.ru/thumb/2017/0922/99/325ea1288eb3821334a3551c108f6f99.jpeg (http://fastpic.ru/view/93/2017/0922/325ea1288eb3821334a3551c108f6f99.jpg.html)

Не хватает пара мелочей. Но то такое... Поборол помехи по питанию. Увеличил кондер на выходе стабилизатора до 680мк и поставил вместо одной керамики недалеко от PAL кодера еще один электролит 470мк.
Иголки по строкам пропали полностью. Есть еще муар по буквам.. Но то потом уже буду искать.
Есть проблема с выходом в меню.

Вот такая картинка:

http://i89.fastpic.ru/thumb/2017/0922/2b/e30434c0ed31e5b5460c71ccbde71d2b.jpeg (http://fastpic.ru/view/89/2017/0922/e30434c0ed31e5b5460c71ccbde71d2b.jpg.html)

Прошивка CPLD - классик вчерашняя. ноги проверил все под микроскопом. всё ок. ПЗУ - от 128 спека. При переключении JP3 в поз 1-2 (выбор второго банка 29С256) в 48 бейсик сбрасывается нормально.
Где искать?
Спасибо

solegstar
22.09.2017, 08:55
Вот такая картинка:
эта картинка вроде вылетает, если зажата CS+SPACE (BREAK) комбинация во время сброса. скорее всего проблема в отсутствии подтяжки kbd cols.

andykarpov
22.09.2017, 09:27
у тебя получилось сделать по умолчанию пентагон с помощью начальной установки сигнала sync_mode? у меня всё время сначала идет ula48... как-будто sync_mode устанавливается в '0' всегда. хоть бери и условия переключения меняй для пента и классики.
Я не проверял :) Только собрал и выложил )))
Странно, однако. Может при включении там какие-нибудь переходные процессы все портят и в KB появляется тот самый бит, который режим переключает ? :)


еще была мысль сделать без диода
Опасное решение, давай не будем экспериментировать :)))

- - - Добавлено - - -


При переключении JP3 в поз 1-2 (выбор второго банка 29С256)

Хм, вроде бы ни одна из перемычек не предназначена для переключения банков.


скорее всего проблема в отсутствии подтяжки kbd cols.

+1

solegstar
22.09.2017, 09:41
Опасное решение
поэтому и отказался от него.

Странно, однако. Может при включении там какие-нибудь переходные процессы все портят и в KB появляется тот самый бит, который режим переключает ?
эм, ну кнопка-то не нажата в это время. тем более всё стабильно - при включении - режим классик. я это увидел на тестовой пзу с тестом 4.30. причем я пробовал даже менять на входах KB вместо "ZZZZZ" все "11111", не помогло. кстати, возможно это бы помогло Anubis_OD при недопаянной плате. хоть какая-то внутренняя подтяжка KBх к 1це для устойчивого состояния на входах.

tank-uk
22.09.2017, 10:10
Anubis_OD, еще такое встречал когда перепутаны 128 и 48 в прошивке

Anubis_OD
22.09.2017, 10:23
ОК. всем спасибо
Про "выбор банка" я имел ввиду принудительно подавая перемычкой единицу на А14 адрес ПЗУ. Тогда включается только старшая половинка. Перемычка JP3 это делает.
Допаяю детали и попробую снова..

andykarpov
22.09.2017, 10:34
еще такое встречал когда перепутаны 128 и 48 в прошивке
Тут скорее всего из-за того, что входы kb cols болтаются в воздухе.

solegstar
22.09.2017, 19:10
Думаю над турбированием 7МГц...
Влад, есть ли какие нибуть результаты?

NiKa
22.09.2017, 19:19
Тоже запаял диод и перепрошился - все работает.

solegstar
22.09.2017, 19:22
Тоже запаял диод и перепрошился - все работает.
Вообще отлично получилось! :) я по дорогам смотрел, поэтому запаял на вывод муз.проца. Сброс после включения питания нормально отрабатывается?

Сегодня проверю ещё одну доработку, для памяти 512кб без доп. деталей... Ну кроме замены самой микросхемы sram))

vlad
22.09.2017, 21:24
есть ли какие нибуть результаты?
Самое проще попробовать заменить Q1 14MHz на 28MHz с небольшой правкой прошивки.

solegstar
22.09.2017, 21:28
Самое проще попробовать заменить Q1 14MHz на 28MHz с небольшой правкой прошивки.
Понял, ну это я наверное врядли проверну)

Mor-Da
23.09.2017, 19:06
Ну вот и почин: CPLD-шина запаяна. Кстати, подскажите, какую прошу лить в ZXKit1 штоп было щасте?

solegstar
23.09.2017, 21:36
Думаю любую. Можно начать с 1.01.

andykarpov
23.09.2017, 21:38
Ну вот и почин: CPLD-шина запаяна. Кстати, подскажите, какую прошу лить в ZXKit1 штоп было щасте?
Поздравляю! :)

Для ZXKit1 я пробовал на той прошивке, которую для Speccy2007 собирали: http://zx-pk.ru/threads/10548-zxkit1-plata-vga-amp-pal.html?p=293424#post293424

Работает отлично! :)

andykarpov
24.09.2017, 01:18
Вопрос к залу, кто собрал себе доработку на диоде и прошился последней прошивкой: через PAL-кодер у всех ли все нормально в режиме ula48 ?
У меня, например, как-то странно срывает башню у PAL-кодера при определенных условиях :)

ЗЫ: выложил на github обновление (https://github.com/andykarpov/karabas-128/raw/master/cpld/karabas_128_revA.pof), поправил режим "пентагон" по-умолчанию.
Больше ничего существенного в прошивке нет.

NiKa
24.09.2017, 18:04
Попробовал через PAL-кодер, короче всё очень плохо при любых условиях, это что диод внёс такие проблеммы или дело в режиме ula48?

OrionExt
24.09.2017, 18:18
Пал - кодер это нежная штука.

Где-то видел пал кодер на чупа-чупсе от альтеры.на нашем чипе (вашем). это уже не порешать. нет ячеек.

solegstar
24.09.2017, 18:19
Попробовал через PAL-кодер, короче всё очень плохо при любых условиях, это что диод внёс такие проблеммы или дело в режиме ula48?
В режиме ula48. Диод нужен только для переключателя видеорежимов. Ко мне едут ad724. Как приедут, буду доделывать, т.к. Сейчас возможности проверить нет. А Вы наверное через RGB подключаетесь? Я этот момент не заметил, потому-что смотрю через zxkit1.

OrionExt
24.09.2017, 18:28
Тут аппаратно все решается. И чудные чипы из прошлого. Но частоту надо таки держать

NiKa
24.09.2017, 18:29
Да подключаюсь через RGB и SCART в ЖК телевизор, пал кодер только проверил на работоспособность с обычной прошивкой и больше не включал.

OrionExt
24.09.2017, 18:43
хз. воткнул китайский кварц с помеченным на корпусе частоте. и все работает сделанноеной 40 лет назад.

- - - Добавлено - - -

А вообще китайсы уже просто ах.... Продают так шлак(с).

solegstar
24.09.2017, 19:00
пал кодер только проверил на работоспособность с обычной прошивкой и больше не включал.
а попробуйте в режиме пентагона, тоже всё плохо?

NiKa
24.09.2017, 19:28
В режиме пентагона все ок.

solegstar
24.09.2017, 19:44
В режиме пентагона все ок.

Спасибо. Буду думать. Что интересно - в фирменном режиме без торможения частота кадров 50.88 Hz, c торможением 49.9 Hz, а у пентагона вообще 48.8 Hz в нормальном режиме. От чего сносит крышу пал-кодеру думаю выясним, как приедут микросхемы.

andykarpov
24.09.2017, 23:09
Спасибо. Буду думать. Что интересно - в фирменном режиме без торможения частота кадров 50.88 Hz, c торможением 49.9 Hz, а у пентагона вообще 48.8 Hz в нормальном режиме. От чего сносит крышу пал-кодеру думаю выясним, как приедут микросхемы.
Тормозилка тут ни при чем, я пробовал ее вообще убирать, без нее - то же самое.
Что-то не то во времянках развертки режима ula48.

andykarpov
25.09.2017, 12:41
Выложил в github новую сборку прошивки (https://github.com/andykarpov/karabas-128/raw/master/cpld/karabas_128_revA.pof), в ней исправлены сигналы hsync/vsync.
Проверил на своем PAL-кодере, теперь все отлично во всех режимах.
Просьба обновиться и заодно проверить как ведет себя RGB-выход и ZXKit1, у кого есть возможность.
solegstar, спасибо большое за помощь в устранении сего недоразумения!!! :)


http://i.piccy_.info/i9/16390f864c72b5e72cc76a4d0fce0e7f/1506337149/59001/1127497/CapturFiles_20170925_105807_800.jpg (http://piccy_.info/view3/11609103/c5259f33b603cc239077b3e271bf4c21/1200/)http://i.piccy_.info/a3/2017-09-25-10-59/i9-11609103/800x527-r/i.gif (http://i.piccy_.info/a3c/2017-09-25-10-59/i9-11609103/800x527-r)



http://i.piccy_.info/i9/320e1455eaba0b51606cac9134ce5da3/1506337203/57761/1127497/CapturFiles_20170925_121027_800.jpg (http://piccy_.info/view3/11609108/3e736f7ef122dc2ce332ff754084d741/1200/)http://i.piccy_.info/a3/2017-09-25-11-00/i9-11609108/800x527-r/i.gif (http://i.piccy_.info/a3c/2017-09-25-11-00/i9-11609108/800x527-r)

solegstar
25.09.2017, 13:57
Выложил в github новую сборку прошивки, в ней исправлены сигналы hsync/vsync.
Проверил на своем PAL-кодере, теперь все отлично во всех режимах.
Вот и чудненько. :) А я в свою очередь проверил доработку карабаса-128 до версии на 512кб чипах (http://pdf.datasheetcatalog.com/datasheets/185/264529_DS.pdf). Все работает, но нужно проверить второй режим работы пал-кодера - от синхросмеси, не от раздельной кадровой и строчной разверток. за счет этого получилось освободить два вывода для адресации 512кб. после проверки, опубликую доработку. да, еще думаю прошлая доработка на 512кб/1024кб на отдельном буфере клавиатуры, смысла не имеет, т.к. с ней не будет работать переключалка видеорежимов. наверное удалю её в будущем.

andykarpov
25.09.2017, 14:36
Все работает, но нужно проверить второй режим работы пал-кодера - от синхросмеси, не от раздельной кадровой и строчной разверток. за счет этого получилось освободить два вывода для адресации 512кб.

Проверено, PAL-кодер ничего и не почувствовал даже :)
Тестовая прошивка - в отдельной ветке test_sync на github'е.

NiKa
25.09.2017, 17:59
andykarpov, Тоже проверил новую прошивку, да все стало отлично.
В режиме ula48 теперь совместились полосы в экранной области и на бордюре по горизонтали, но вот есть интересный тест - BBG48, в нем полосы вертикальные, в оригинальной ula48 они как единое целое, если добиться этого то будет здорово.

solegstar
25.09.2017, 18:08
в оригинальной ula48 они как единое целое, если добиться этого то будет здорово.
а сейчас как показывает? можно фотку.

NiKa
25.09.2017, 18:22
Режим ula48

- - - Добавлено - - -

А вот Арлекин 48

solegstar
25.09.2017, 21:02
Режим ula48
...
А вот Арлекин 48
ок, посмотрю вечером.

у меня пока зашита старая версия (своя, если хотите) под 512кб. тайминги "нормальные", как у Арлекина.
https://www.dropbox.com/s/as19kvqvpbjyyhd/karabas-ula48.jpg?dl=0&raw=1
сейчас попробую у себя последнюю версию запустить.
через zxkit1 показывает на новой прошивке практически те же полосы, только смещенные влево на 1-2 пикселя. у Андрея эта же прошивка так же показывает глючно, как у Nika. завтра спаяю RGB-кабель и будет тогда уже точно чистый эксперимент.

NiKa
26.09.2017, 04:34
Дак это точно как у Арлекина, и значит данный клон по таймингам ни чуть не хуже его.

Mor-Da
26.09.2017, 19:20
А это что за новшества: прошивка CPLD без микросхем, что, вообще на пустой плате?

solegstar
27.09.2017, 08:52
прошивка CPLD без микросхем, что, вообще на пустой плате?
это где такое написано? :)

- - - Добавлено - - -

вообще, лучше конечно без буферов IC5 и IC6. у меня грелась IC5, когда CPLD была чистая. сейчас шью без проблем со всеми запаянными микросхемами.

Mor-Da
27.09.2017, 09:56
это где такое написано? Тут HOWTO-ru.md: В собранную, но не прошитую плату желательно не вставлять DIP-микросхемы до первой прошивки CPLD. (https://github.com/andykarpov/karabas-128/blob/master/HOWTO-ru.md)
Вот я и потревожился. Еще хочу попробовать вместо UT621024 поставить BS62LV1024, должно снизиться потребление.

vlad
27.09.2017, 10:19
вообще, лучше конечно без буферов IC5 и IC6. у меня грелась IC5, когда CPLD была чистая. сейчас шью без проблем со всеми запаянными микросхемами.
Всего-то нужно поставить pullup резистор на N_A_GATE_EN... и аналогично для других управляющих.
По питанию AD724 желательно фиритик в разрыв и запитать от дополнительного источника +5В (маломощный линейник), а то все помехи от цифры на нем.

Mor-Da
27.09.2017, 10:46
Я, в последнее время, при прошивке вообще запитываю от ПоверБанка. И все вроде нормыч.

NiKa
28.09.2017, 05:55
От ПоверБанка это как?

CodeMaster
28.09.2017, 10:34
От ПоверБанка это как?

Видимо так (https://yandex.ru/yandsearch?clid=2186618&text=powerbank%20%D1%8D%D1%82%D0%BE&lr=193)

Mor-Da
28.09.2017, 12:28
Именно так, ну, естественно, он должен быть заряжен :)

Mor-Da
30.09.2017, 18:01
Ну так что, камрады, пока запаяна только Альтера прошить? И главное что?

andykarpov
30.09.2017, 18:18
можно шить последнюю актуальную версию из git.

Mor-Da
30.09.2017, 18:54
ОК, на голой плате, тупенько подав 5В?

andykarpov
30.09.2017, 19:06
ОК, на голой плате, тупенько подав 5В?
Да, вполне пойдет. Питание можно подать на пины ZXKIT1 debug header.
По поводу подтяжек для JTAG не уверен, что без них будет норм, у меня получалось )

Mor-Da
30.09.2017, 19:19
Само собой поставлю эти 3 резистора.

Mor-Da
01.10.2017, 21:35
А вместо HC может LS / ALS забубенит, нашёл в загашниках?

solegstar
01.10.2017, 21:50
А вместо HC может LS / ALS забубенит, нашёл в загашниках?
Я забубенил, работает :) сначала пробовал 555 (нашёл в загашниках:)), потом перешёл на 1533, чтобы меньше кушал.

Jimbo_77
02.10.2017, 10:24
Народ подскажите кто знает, насколько хорошо плата карабаса встает в оригинальный корпус? Доработка корпуса потребуется?

solegstar
02.10.2017, 10:37
насколько хорошо плата карабаса встает в оригинальный корпус? Доработка корпуса потребуется?
Плата становится "как родная". у меня именно оригинальный корпус, не новодел. Из доработок - вырезать отверстие под RGB-MiniDin, если планируете им пользоваться, и просверлить отверстие под RESET. у себя в городе я нашел угловые кнопки с толкателем 10мм длиной, кнопка получилась в "западлицо" с краем корпуса. в идеале еще один миллиметр добавить. в новодельных корпусах стенка тоньше, поэтому кнопку удобнее нажимать. в оригинальном корпусе отверстие для кнопки можно аккуратно выпилить напильником с верхнего края стенки. при запаянной кнопке по высоте получилось, что верхушка толкателя кнопки как раз идет по краю стенки. вечером буду дома, сфоткаю.

solegstar
03.10.2017, 12:31
обещанные фото...
http://savepic.net/10040376m.jpg (http://savepic.net/10040376.htm) http://savepic.net/10033208m.jpg (http://savepic.net/10033208.htm) http://savepic.net/10086459m.jpg (http://savepic.net/10086459.htm)
ну и наконец-то мы с Андреем нашли причину, почему не правильно выводился мультиколор на бордюре. Всему виной оказалась 74HC573! у меня ведь собран карабас на ТТЛ микросхемах 1533 серии, поэтому у меня выводилось всё правильно. Андрей менял у себя 74НС245 на 1533АП6, но это не помогло. под замену 74HC573 у него ничего не оказалось, поэтому для дальнейших экспериментов Андрей прислал мне прототип карабаса. заодно для проверки последней микросхемы. после замены 74HC573 на 1533ИР33 все стало на свои места, вот и результат работы:
http://savepic.net/10058811m.jpg (http://savepic.net/10058811.htm)
Скорее всего, глюки связаны с медленной серией HC. есть несколько вариантов решения:
- перейти на более быструю AHC, AC. возможно заработает HCT, т.к. совместима с ТТЛ микросхемами и возможно фронты будут более крутые. вообще, судя по википедии HC серия по скорости приравнена к LS (555) серии. я бы проверил у себя этот момент, заменил 1533ИР33 на 555ИР33, но у нас на радиорынке их нет. возможно их вообще как таковых не существует и есть только иностранные 74LS573.
- поставить 74ALS573 (1533ИР33).
- попробовать настроить прошивку.

Jimbo_77
05.10.2017, 10:30
В общем заказал себе плату и скоро она приедет ко мне, т.к. сам я не паяю то собирать ее будет другой человек и прежде чем отдавать ее в работу хотел спросить у сообщества есть :) есть ли при сборке-прошивке какие-нибудь нюансы, какие микросхемы лучше брать в качестве аналогов например и тд. Буду признателен за полезные советы...

andykarpov
05.10.2017, 11:03
По сборке есть вот такой мануал: https://github.com/andykarpov/karabas-128/blob/master/HOWTO-ru.md
Там же по нюансам прошивки.
По микросхемам логики - есть нюанс. По последним выясненным данным, вместо 74HC573 желательно поставить 1533ИР33.
Вместо 74HC245 можно ставить 1533АП6.
ПЗУ - там вариантов масса, AT28C256, 27C256, W27C512, ...
ОЗУ - тоже очень много вариантов, поищите на этом форуме где-то был пост обсуждения подходящих микросхем. По большому счету - нужна 5-вольтовая память в корпусе DIP-32 емкостью 128КБ (1024 кбит).
CPLD - тут тоже вариантов несколько, EPM7128STC100, с префиксом скорости -15, можно ставить быстрее (тестироловалось также на -7).
Процессор - особых требований нет, любой Z80 который потянет работу на 3.5МГц, самый доступный вариант - Z0840004PSC.

Jimbo_77
11.10.2017, 18:55
заказал корпус, плата пришла, очень понравилась качество изготовления и не ожидал что она такая маленькая, даже из рук выпускать не хочется, сижу облизываюсь:) закупаюсь детальками, встал вопрос где брать альтеру, посоветуйте пожалуйста где приобрести... на ебее глаза разбегаются конечно (в основном продают партиями) и цена скачет, в общем пока ищу

- - - Добавлено - - -

есть такой вариант https://www.chipdip.ru/product/epm7128stc100-15n

NiKa
11.10.2017, 19:17
За такую цену можно семь штук купить - http://zx-pk.com/forum/viewtopic.php?f=7&t=7831&sid=8d7c7b0f388d45b202472c7a36199c4d

Jimbo_77
11.10.2017, 20:47
там уже нет, я плату как раз у него и брал с теми детальками что остались в наличии

- - - Добавлено - - -

https://www.promelec.ru/product/70408/ вроде подешевле

andykarpov
11.10.2017, 22:10
заказал корпус
Интересно, где? новодел или б/у?

Jimbo_77
12.10.2017, 07:12
новодел :)

andykarpov
12.10.2017, 10:07
новодел

Если у Retroradionics.co.uk - то ждать прийдется неприлично долго, судя по всему.
Там компания из одного человека, который на full-time работе работает и ему катострофически не хватает времени для всего остального :(

Jimbo_77
12.10.2017, 10:29
точно :), но я не тороплюсь,а так сообщение уже на почту пришло что выслали, получу отпишу...

Jimbo_77
13.10.2017, 08:35
CPLD - тут тоже вариантов несколько, EPM7128STC100,
тоесть EPM7128STC100-15N должна подойти нормально?

- - - Добавлено - - -

15N это что может значить?

CodeMaster
13.10.2017, 09:16
15N это что может значить?

Speed grade, что с PCI связанное.

Jimbo_77
19.10.2017, 09:35
Собрал весь набор почти, не хватает резисторных сборок и разъемов под шлейфы клавиатуры, никак не могу найти где заказать, ребят если кто знает подскажите где на заказ есть...

andykarpov
19.10.2017, 11:13
Разъемы на FPC шлейф подходят такие:
CONNFLY DS1020-08ST1D и CONNFLY DS1020-05ST1D соответственно.
Есть в наличии на TME.EU, chipdip.ru, и т.п. (http://zx-pk.com/forum/viewtopic.php?f=7&t=4840)

Jimbo_77
19.10.2017, 11:47
спасибо за наводку :)

andykarpov
19.10.2017, 13:47
не хватает резисторных сборок

В том же chipdip.ru есть нужные резисторные сборки:
https://www.chipdip.ru/product/9a103j
https://www.chipdip.ru/product/4606x-101-103

Ну и разъемы:
https://www.chipdip.ru/product0/8889189650
https://www.chipdip.ru/product0/8622174939 - этот только угловой у них есть, прямого нет. Возможно, покатит, если ноги распрямить, хз.

Jimbo_77
28.10.2017, 11:44
Корпус пришел, качество отличное (правда не совсем понял чем фейсплату приклеивать к корпусу ),а так плата как и ожидалось помещается на 5+, после пентевы совсем другие ощущения так и веет духом 80х :) осталось дособрать Карабасика и прошить

andykarpov
28.10.2017, 11:51
Корпус пришел, качество отличное (правда не совсем понял чем фейсплату приклеивать к корпусу )
В комплекте должны быть полоски двусторонней клейкой ленты 3M.
На них и клеить.

Totem
28.10.2017, 14:05
Корпус пришел, качество отличное (правда не совсем понял чем фейсплату приклеивать к корпусу ),а так плата как и ожидалось помещается на 5+, после пентевы совсем другие ощущения так и веет духом 80х :) осталось дособрать Карабасика и прошить
корпус покажите пожалуйста

Jimbo_77
28.10.2017, 16:18
сфоткаю как посвободнее буду

Jimbo_77
28.10.2017, 19:24
фотки: https://yadi.sk/d/hNwGGUs73PBKg9

andykarpov
28.10.2017, 19:48
прикольно, на вид - глянцевый?
оригинальный корпус имеет такую, несколько шероховатую поверхность.

Jimbo_77
28.10.2017, 20:24
глянцевый и из за этого быстро залапывается к сожалению :)

andykarpov
07.11.2017, 00:55
ну и наконец-то мы с Андреем нашли причину, почему не правильно выводился мультиколор на бордюре. Всему виной оказалась 74HC573! у меня ведь собран карабас на ТТЛ микросхемах 1533 серии, поэтому у меня выводилось всё правильно. Андрей менял у себя 74НС245 на 1533АП6, но это не помогло. под замену 74HC573 у него ничего не оказалось, поэтому для дальнейших экспериментов Андрей прислал мне прототип карабаса. заодно для проверки последней микросхемы. после замены 74HC573 на 1533ИР33 все стало на свои места, вот и результат работы:

Скорее всего, глюки связаны с медленной серией HC. есть несколько вариантов решения:
- перейти на более быструю AHC, AC. возможно заработает HCT, т.к. совместима с ТТЛ микросхемами и возможно фронты будут более крутые. вообще, судя по википедии HC серия по скорости приравнена к LS (555) серии. я бы проверил у себя этот момент, заменил 1533ИР33 на 555ИР33, но у нас на радиорынке их нет. возможно их вообще как таковых не существует и есть только иностранные 74LS573.
- поставить 74ALS573 (1533ИР33).
- попробовать настроить прошивку.

Эпопея продолжается...
Дело оказалось вовсе не в медленной серии 74HC573. Все дело в ПЗУ :)
Олег прислал мне дамп своей ПЗУ, и с ней все прекрасно работает, как с 1533ИР33, так и с 74HC573, так и с 74ACT573...
В общем, теперь хотелось бы понять, что не так с оригинальной ПЗУ и в чем разница :)
Дамп ПЗУ от solegstar: 62758

shurik-ua
07.11.2017, 01:35
про баги ПЗУ можно тут почитать - http://k1.spdns.de/Vintage/Sinclair/82/Sinclair%20ZX%20Spectrum/ROMs/gw03%20%27gosh,%20wonderful%27%20(Geoff%20Wearmout h)/gw03%20info.htm

NiKa
07.11.2017, 04:19
Править ПЗУ под каждый клон Speccy это тупиковый путь.

solegstar
07.11.2017, 10:58
Путь то тупиковый, но выяснить с помощью его причину и исправить в железе можно. В моей пзу отличается разве что Бейсик-48. Там прошит турбо-91 года. Бейсик 128 вроде стандартный, сервис профи и Трдос не используются.

Anubis_OD
07.11.2017, 11:15
Вот (http://faqwiki.zxnet.co.uk/wiki/ROM_images) сайт хороший с чексумами разных версий ROMов.

andykarpov
08.11.2017, 00:07
Путь то тупиковый, но выяснить с помощью его причину и исправить в железе можно. В моей пзу отличается разве что Бейсик-48. Там прошит турбо-91 года. Бейсик 128 вроде стандартный, сервис профи и Трдос не используются.

Я, вот, не понимаю, если честно, куда дальше копать.
Сравнивать бинарным диффом пзу 48-бейсика оригинального из из этой ПЗУ-шки что-ли?
Но нужно ж понимать, что искать :)

solegstar
08.11.2017, 09:46
Я, вот, не понимаю, если честно, куда дальше копать.
Сравнивать бинарным диффом пзу 48-бейсика оригинального из из этой ПЗУ-шки что-ли?
Но нужно ж понимать, что искать :)
тут, наверное, надо дебагером эту тестовую программу смотреть, какие она вызывает процедуры из ПЗУ48кб и какая разница в них между 82 и 91 годом. На выходных заберу спек обратно и можно будет продолжить эксперименты. :)

NiKa
11.11.2017, 17:07
solegstar & andykarpov Решил повторить ваш эксперимент с заменой 74HC573 на более быструю, для этой цели в местном лабазе были приобретены м/с серий AC и ACT, результат оказался нулевой не изменилось вообще ни чего. А вот замена прошивки на выложенную вами profi3.rom дает положительный результат, но например игра Robocop 3 перестала работать.

shurik-ua
11.11.2017, 17:36
там ошибка в калькуляторе если не ошибаюсь - 5 байт пишутся в 5-ю или 7-ю страницу - тут гдето это обсуждалось - давненько правда.

Mor-Da
29.11.2017, 08:28
Господа девелоперы, как вам кажется, ничего ведь не случится страшного, если резисторная сборка RN2будет не 10к, а 15к. Приём.
P.S. Это ж всего лишь Pull-Up.

solegstar
29.11.2017, 10:00
Господа девелоперы, как вам кажется, ничего ведь не случится страшного, если резисторная сборка RN2будет не 10к, а 15к. Приём.
P.S. Это ж всего лишь Pull-Up.
можно.

Mick
30.11.2017, 14:48
Карабасовцы, признавайтесь у вас магнитофонный вход работает, проверяли?
А то я вот в соседней теме со своей Яшмой (ZXM-Jasper) уже в тупике.

andykarpov
30.11.2017, 14:54
Карабасовцы, признавайтесь у вас магнитофонный вход работает, проверяли?
А то я вот в соседней теме со своей Яшмой (ZXM-Jasper) уже в тупике.

Конечно работает.
А что именно интересует?

solegstar
30.11.2017, 14:54
Карабасовцы, признавайтесь у вас магнитофонный вход работает, проверяли?
я проверял. на тестах, на играх - aquaplan, vectron, и демке shock megademo, когда настраивал фирменный режим. вроде проблем небыло.

Mick
30.11.2017, 15:13
А что именно интересует?

Отсюдова http://zx-pk.ru/threads/28023-kompyuter-quot-zxm-jasper-quot-obsuzhdenie.html?p=939016&viewfull=1#post939016

creator
30.11.2017, 15:23
Работает. На 1006ВИ1. Ту хитрую микруху пока что достать не удалось. Да и просят (https://www.chipdip.ru/product/tl712cpe4-comparator-single-5.25v-8) за неё каких-то конских денег. :)
http://i98.fastpic.ru/thumb/2017/1130/e2/c7d6bb58d6c079f243b86da36ce538e2.jpeg (http://fastpic.ru/view/98/2017/1130/c7d6bb58d6c079f243b86da36ce538e2.jpg.html) http://i98.fastpic.ru/thumb/2017/1130/51/de7f664a4c5ad4ca7abbb90fca839251.jpeg (http://fastpic.ru/view/98/2017/1130/de7f664a4c5ad4ca7abbb90fca839251.jpg.html)

NiKa
02.12.2017, 18:04
Я немного переразвел плату под себя, и вот наконец то спаял, на удивление все отлично работает.
https://www.dropbox.com/s/ojjt1yavdaf8qqt/IMG_2475.jpg?dl=0

andykarpov
02.12.2017, 19:09
Я немного переразвел плату под себя

Хе-хе! Так это клон карабаса получился ? :)
А что еще переразведено, кроме посадочных размеров блокировочных конденсаторов и их добавление в узел питания LM-ки?
ЗЫ: Интересна методика таких "доработок", это ж времени сколько было потрачено ?

NiKa
02.12.2017, 21:04
На десятой странице я уже писал, какие доработки уже сделал на тот момент, ну и там еще по мелочи добавил, по фото можно найти все отличия.
Методика доработок проста, берем SL, вносим изменения и разводим снова дорожки, времени было потрачено много т.к. в SL нет автоматического контроля, все нужно контролировать самому.
Зачем это было нужно? да просто мне понравилась идея карабаса, за что автору респект и уважуха, и захотелось самому тоже хоть немного помочь в продвижении данного клона.
Хотелось бы пожелать всем, кто активно участвует в проекте, добиться еще большей совместимости с оригинальным 128.

creator
05.12.2017, 09:46
Обнаружилась проблема, ZILOG Z84C0020PEC, вот эти (http://zx-pk.ru/threads/21743-z84c0020pec-0512-lk.html?p=849005&viewfull=1#post849005), все десять штук на Карабасе не работают. До конца сброс не проходит, так и зависает на чёрном экране с белым бордюром. Перекидываю JP3 (чтобы 48 прошивка стартовала), тоже зависает на красных полосах, иногда доходит и до бейсика, но при попытке что-либо набрать и запустить, зависает или в процессе набора или после запуска. Резистор 2.2K между CLK и 5В проблему не решает.

ZILOG Z0840006PSC, ST Z8400AB1, КР1858ВМ1 работают нормально.

https://i98.fastpic.ru/thumb/2017/1205/d6/e29da6b66b4d0b8cdbe0f734ba0ab6d6.jpeg (https://fastpic.ru/view/98/2017/1205/e29da6b66b4d0b8cdbe0f734ba0ab6d6.jpg.html) https://i98.fastpic.ru/thumb/2017/1205/15/cb32403cc45e84a907de2f3147d9a115.jpeg (https://fastpic.ru/view/98/2017/1205/cb32403cc45e84a907de2f3147d9a115.jpg.html) https://i98.fastpic.ru/thumb/2017/1205/68/98cd180f9d5a2d08f9ea41e469ae6f68.jpeg (https://fastpic.ru/view/98/2017/1205/98cd180f9d5a2d08f9ea41e469ae6f68.jpg.html)

solegstar
05.12.2017, 10:33
creator, а какая прошивка?

creator
05.12.2017, 10:41
solegstar, 128 оригинальная с tape tester. Но пробовал разные и даже Test4.30. У всех башню сносит.

solegstar
05.12.2017, 10:49
creator, а какая прошивка?
извиняюсь, не уточнил - в ПЛИС...

creator
05.12.2017, 10:53
Последняя с github. Переключение времянок с "Пентагона" на "Speccy48" работает и при глючащем процессоре, экран выше/ниже смещается.

solegstar
05.12.2017, 11:05
Резистор 2.2K между CLK и 5В проблему не решает.
а если уменьшить до 680-470 Ом? для этих процов вроде нужно в этом диапазоне ставить. по даташиту проца нужно 330 Ом, но это будет 15 мА для вывода плис и практически максимум в импульсном режиме.

creator
05.12.2017, 11:41
560 попробовал. Так же. :(

Mick
05.12.2017, 12:36
ZILOG Z0840006PSC, ST Z8400AB1, КР1858ВМ1 работают нормально.

Боюсь предположить, что

ZILOG Z0840006PSC - NMOS
ST Z8400AB1 - NMOS?!
КР1858ВМ1 - NMOS

А проц ZILOG Z84C0020PEC - CMOS
Очень похоже на то что с MAX3000A CMOS процы не дружат.
У меня тоже с CMOS процом Toshiba TMPZ84C00AP-8 ZXM-Jasper c EPM3256 не очень стабильно работает


Хотя вроде у вас MAX7000 применяется, тогда я пролетел с мыслью.

creator
05.12.2017, 12:41
Mick, дык в Speccy2007 эти Z84C0020PEC работают хорошо. :)

Mick
05.12.2017, 12:46
Mick, дык в Speccy2007 эти Z84C0020PEC работают хорошо.

Я уже написал, что это неудачная мысль. У вас то 5В EPM7128STC100 -> MAX7000S. У меня то 3,3В

Поглядел схему, а что частота проца непосредственно с EPM7128 идет? Может стоило через буфер - один элемент 74HC04 - пропустить ее.
Хотя в Speccy2007 тоже идет непосредственно с CPLDхи.

solegstar
05.12.2017, 13:20
в Speccy2007 эти Z84C0020PEC работают хорошо.
возможно после добавления торможения для проца в фирменном режиме, что-то пошло не так. :) нужно попробовать более рание прошивки. я когда буду вечерком дома, попробую на 20МГц проце.

solegstar
05.12.2017, 22:22
Работают в карабасе:
https://www.dropbox.com/s/nsog5qpf2dhyv6z/IMG_20171205_210951.jpg?dl=0&raw=1
Причём тот, который со светлой шелкографией работает в Профи 5.06 на 12МГц, а также из этой партии работает у JV-Soft в GS на 14 (или 16, не помню уже) МГц, практически на максимуме.
Не работает в карабасе:
https://www.dropbox.com/s/k9tzaxuddzrw0j2/IMG_20171205_211016.jpg?dl=0&raw=1

andykarpov
05.12.2017, 23:16
возможно после добавления торможения для проца в фирменном режиме, что-то пошло не так. :) нужно попробовать более рание прошивки. я когда буду вечерком дома, попробую на 20МГц проце.

У нас были глюки при реализации торможения для фирменного режима, при чем глюки были похожие, только наоборот :)
У Олега все работало на CMOS-процессорах, а на моих NMOP (Z840004PSC)- все глючило и примерно так же зависало на сбросе.
Пофиксилось в одном из коммитов (https://github.com/andykarpov/karabas-128/commit/d98ba46d02e20711120550309ce201051535fc7d#diff-4c6a22d7285c8c334142999c68155a6bR211), путем заворачивания тормозилки в другой клок.

Mor-Da
10.12.2017, 14:27
А вот не нашел, как замыкать JP1 или я туплю?

andykarpov
10.12.2017, 14:51
А вот не нашел, как замыкать JP1 или я туплю?
Тут есть (https://github.com/andykarpov/karabas-128/blob/master/HOWTO-ru.md) в пункте "Замечания":



конфигурации перемычек на плате



перемычка JP1: всегда в нижнем положении
перемычки JP2/JP3: в зависимости от применяемой микросхемы ПЗУ (W27C512, 27C512, 27C256, AT28C256) должны быть установлены в следующем положении:

для W27C512 / 27C512 / 27C256: JP2 в нижнем положении, JP3 в верхнем положении
для AT28C256: JP2 в верхнем положении, JP3 в нижнем положении


перемычка JP5 служит для включения или отключения встроенного динамика (beeper). Крайнее левое положение включает динамик, крайнее правое - отключает.

Mor-Da
10.12.2017, 16:33
Ну вот, я тупанул... Итак, первый запуск, вижу стабильный матрас с чередованием при нажатии на сброс. Подключение (пока) по композиту. Наблюдается сначала лёгкий шум, но шуруденье пальцем в области разъема/конденсатора - его устраняет. Воткнул РОМ от Пентагона, но не запустился, мусор на экране, на Ресет не реагирует. Прошью на днях рекомендуемую офф от 128 и попробую. Автору респект. Не было ещё фото синей?

Mor-Da
10.12.2017, 19:13
Зашил 2 раза официальный 128, норм стартанул, сброс работает. Осталось 8 транзюков на клаву, спикер и все. Жить будет в родном корпусе, с родной клавой. Осталась "не у дел" родная рабочая плата Issue2 в отличном состоянии.

Mor-Da
11.12.2017, 11:54
solegstar, andykarpov: А какой диод-то запаивать? 4148 норм будет, а то я смотрю так там аж типа 4001

andykarpov
11.12.2017, 12:17
4148 норм будет
да, вполне :)

- - - Добавлено - - -


Не было ещё фото синей?
Вроде были, но все равно - выкладывайте, пожалуйста!

Mor-Da
11.12.2017, 14:52
Вроде были, но все равно...Добью транзисторами и спикером, помою чутка и ага.

Mor-Da
19.12.2017, 18:23
Итак, DivGMX в Karabas-е работает, но в режиме DivMMC ну никак, пробовал процы на 4, 6, 20 - не выходит в начальный экран EsxDOS.

CodeMaster
19.12.2017, 18:47
Итак, DivGMX в Karabas-е работает

Наверное правильнее "DivGMX с Karabas'ом"?

andykarpov
19.12.2017, 19:54
в режиме DivMMC ну никак

Подтверждаю, такая же фигня.

vlad
19.12.2017, 20:29
Подтверждаю, такая же фигня.
Аналогичная фигня.

Геккон
28.12.2017, 10:28
Думаю что Karabas-128 нужно выпускать ревизию платы B так называемой классики.
А остальные нововведения это уже наверное называть Karabas-1024 или Karabas-2 с расширением до 1024кб памяти, TurboSound, дополнительные режимы процессора turbo и т.д.
и по возможности добавлять больше функционала, используя некоторые достаточно интересные последние наработки от старших собратьев Pentagon, АТМ, Sprinter...
конечно это все решать самому разработчику что делать, а что не делать...

shurik-ua
28.12.2017, 12:15
А остальные нововведения это уже наверное называть Karabas-1024 или Karabas-2

или сделать верхнюю плату как в профи и назвать её Барабас ))

Геккон
28.12.2017, 13:18
Karabas-Pro :)


или сделать верхнюю плату как в профи и назвать её Барабас ))

vlad
28.12.2017, 13:29
А остальные нововведения это уже наверное называть Karabas-1024 или Karabas-2 с расширением до 1024кб памяти, TurboSound, дополнительные режимы процессора turbo и т.д.
и по возможности добавлять больше функционала, используя некоторые достаточно интересные последние наработки от старших собратьев Pentagon, АТМ, Sprinter...
Уже проще допилить конфиг для DivGMX.

Геккон
28.12.2017, 13:32
DivGMX - это немного другое направление...


Уже проще допилить конфиг для DivGMX.

andykarpov
28.12.2017, 17:58
Думаю что Karabas-128 нужно выпускать ревизию платы B так называемой классики.

Ревизию B классики выпустить - хорошая идея.
Тем более, товарищ NiKa уже сам пофиксил герберы ревизии A, все детские болезни устранил, уменьшил количество переходных отверстий, и тп.
Если он будет не против - можно расшарить его вариант платы и выпустить, только это будет не ревизия B, а какая-нибудь A2 :)



А остальные нововведения это уже наверное называть Karabas-1024 или Karabas-2 с расширением до 1024кб памяти, TurboSound, дополнительные режимы процессора turbo и т.д.
и по возможности добавлять больше функционала, используя некоторые достаточно интересные последние наработки от старших собратьев Pentagon, АТМ, Sprinter...


Да, подозреваю это будет уже совсем другой проект.
К количеству мозгов лучше не привязываться в названии, поэтому варианты типа Барабас или Карабас-Про - вообще отличные! :)

Тут мы несколько уперлись по количеству свободных ног у CPLD и емкости самой CPLD.
Более многоногих 5-вольтовых CPLD, вроде как, нет :( А городить огороды с конвертерами уровней 3.3В <=> 5В как-то не хочется.
Пока в раздумьях, в общем.

- - - Добавлено - - -


Уже проще допилить конфиг для DivGMX.

У kasper'а в свое время, вроде как, даже получилось для его Орель-БК сделать из DivGMX - некий экстендер до 4Мб RAM + естественно вся периферия из DivGMX - turbosound, мышь, Z-Controller, и т.п.

Посему, вариант допилить DivGMX как дополняющее устройство, расширяющее возможности любого спектрума - отличная идея!

- - - Добавлено - - -


допилить конфиг

Влад, а есть идеи, что не так в Карабасе или DivGMX, из-за чего режим DivMMC не хочет запускаться?
У меня он работает, но через раз, поймать стабильную работу - вообще не получается.
Есть подозрение, что клок спектрума, который заходит в DivGMX как-то нужно все-таки юзать, привязываться к нему...
Потому что в оригинальных DivMMC так и есть, клок через триггер заходит в CPLD.

Геккон
28.12.2017, 19:04
Я конечно не специалист, но в Sprinter 2000 используется ПЛИС EP1K30QC208 из устаревшей серии ACEX. Плисина конечно старая, но имеет свою особенность - при питании 3.3 вольта она толерантна к 5 вольтам и позволяет пятивольтовую логику вешать ей на лапы без каких либо LVC и прочего. Может присмотреться к ней?


Тут мы несколько уперлись по количеству свободных ног у CPLD и емкости самой CPLD.
Более многоногих 5-вольтовых CPLD, вроде как, нет :( А городить огороды с конвертерами уровней 3.3В <=> 5В как-то не хочется.
Пока в раздумьях, в общем.

Mick
28.12.2017, 19:11
Более многоногих 5-вольтовых CPLD, вроде как, нет

Есть:
EPM7160STC100 - емкая но не многоногая (хотя вроде есть и с большим количеством ног)
EPM7192SQC160
EPM7256SQC208

NiKa
29.12.2017, 05:27
Ну вот не нужно делать из Karabas-128 нечто вроде ZX Spectrum Next, пусть он будет классическим 128 правда до этого его еще нужно довести в плане совместимости. Ведь все эти доработки как увеличение памяти, возможность подключения USB клавы и других устройств, HDMI и т.д и т.п. уже сделаны и продаются абсолютно везде под названием ПК, купите и запускайте в эмуляторе все что захотите, нафига из старого доброго спектрума делать современный комп мало их что ли.

Геккон
29.12.2017, 08:08
Поэтому и предлагаем зарелизить, с последними исправлениями, плату ревизии А2 или В как классику, для определенной категории, и идти дальше в развитии, для другой категории, которым хочется чуть больше. Клонов классики создано великое множество, идет своеобразно топтание на месте, а хочется воплощения в железе некоторые наработки, ведь реализовано чуть ли не в единичных экземплярах очень достаточно интересные вещи, но так и не получившие большого распространения из-за недоступности...И так уж повелось с появлением платформы Спектрум в России, практически сразу начались вносится изменения, дополнения, улучшения и появилось какое-то небольшое, но движение вперед...Нужно спокойнее относится ко всем категориям почитателей Спектрума, и не зацикливаться и утверждать что классика это канон и необходимо следовать только этому, а шаг вправо или влево это ересь...


Ну вот не нужно делать из Karabas-128 нечто вроде ZX Spectrum Next, пусть он будет классическим 128 правда до этого его еще нужно довести в плане совместимости. Ведь все эти доработки как увеличение памяти, возможность подключения USB клавы и других устройств, HDMI и т.д и т.п. уже сделаны и продаются абсолютно везде под названием ПК, купите и запускайте в эмуляторе все что захотите, нафига из старого доброго спектрума делать современный комп мало их что ли.

NiKa
30.12.2017, 06:51
Нужно спокойнее относится ко всем категориям почитателей Спектрума
Как вы не понимаете, что это уже не Спектрум, и все эти категории почитателей им Спектрум как раз нафиг не нужет.
Если например вы почитатель машины Фольксваген жук, вы же будете пытаться воссоздать именно его, а не пытаться сделать Фольксваген пассат сс только потому, что он лучше жука по всем параметрам.

Геккон
30.12.2017, 17:18
Вам нравятся старые черно-белые фильмы которые затем колорировали? Мне да.
Мне нравятся также раскрашенные игры Спектрума.
И я хочу попытаться раскрасить несколько игр сам.

P.S. Есть люди которые из Фольксваген Жук сделают красивейший Хот-Род:
http://autoutro.ru/tn/20/preview/231179.jpg


Как вы не понимаете, что это уже не Спектрум, и все эти категории почитателей им Спектрум как раз нафиг не нужет.
Если например вы почитатель машины Фольксваген жук, вы же будете пытаться воссоздать именно его, а не пытаться сделать Фольксваген пассат сс только потому, что он лучше жука по всем параметрам.

NiKa
30.12.2017, 18:02
И я хочу попытаться раскрасить несколько игр сам.
Ну так бы сразу и сказали, только непонятно зачем вы забрели в данную ветку, где простой 128 клон да еще и в оригинальном форм-факторе.

Геккон
30.12.2017, 19:41
Вы уже начинаете флудить... Вам не хватает ОГРОМНОГО количества клонов спектрума? В конце концов, купите очередной оригинальный раритет и наслаждайтесь... Вам никто не запрещает... Вы как рьяный хранитель канонов секты, кидаетесь на тех у кого мнение отличное от вашего... Разработчики планируют дополнить и расширить свою разработку, что мне в этом и понравилось... А вот Вы уже пытаетесь упорно навязать свою точку зрения... Я например предложил включить все исправления и зарелизить в классическом варианте для таких как Вы, а потом уже продолжить расширение функционала... Что не так? И почему то я еще и оказался виноват в чем-то перед вами... Если уж на то пошло в оригинальный корпус предложено все равно не оригинальная начинка, а с расширенным функционалом от Пентагона, это уже есть отклонение от оригинала... Так почему бы не пойти дальше и попытаться, не изобретая велосипед, включить наработки с других проектов... Я же не пытаюсь заставить разработчика сделать то что именно мне нужно, это и не получится, просто я поддержал идею о дальнейшем расширении и подкинул несколько идей...

Этот проект мне понравился простотой повторения и соответственно перспективой большего распространения, так как мне не хочется со своей стороны что-то делать для малораспространенной платформы всего лишь для небольшой горстки избранных...

Я написал для вас развернуто и много. Давайте на этом и закончим это диспут... Эта тема о другом.


Ну так бы сразу и сказали, только непонятно зачем вы забрели в данную ветку, где простой 128 клон да еще и в оригинальном форм-факторе.

NiKa
31.12.2017, 06:32
Вы как рьяный хранитель канонов секты
Вот тут вы как раз и не правы, я просто любитель паять и ремонтировать электронику.

Геккон
02.01.2018, 01:13
Из трех только EPM7192SQC160 можно найти на али, но она дороже раза в полтора той же EP1K30QC208 (EP1K30TC144). Ориентировочно цены: EPM7192SQC160 чуть дороже 300 руб, EP1K30QC208 (EP1K30TC144) чуть дороже 200 руб.

EPM7192SQC160 - Количество макроячеек: 192; Количество входов/выходов: 124; Рабочее напряжение питания: 5 V
EP1K30TC144 - Количество макроячеек: 1728; Количество входов/выходов: 102; Рабочее напряжение питания: 2,5 V, но совместима с 5 V сигналами
EP1K30QC208 - Количество макроячеек: 1728; Количество входов/выходов: 147; Рабочее напряжение питания: 2,5 V, но совместима с 5 V сигналами


Есть:
EPM7160STC100 - емкая но не многоногая (хотя вроде есть и с большим количеством ног)
EPM7192SQC160
EPM7256SQC208

Mick
02.01.2018, 08:38
Из трех только EPM7192SQC160 можно найти на али, но она дороже раза в полтора той же EP1K30QC208 (EP1K30TC144).

И что, для EPM7192SQC160 как и других CPLD не нужен дополнительная микруха-конфигуратор, а для FPGA EP1K30QC208 (EP1K30TC144) уже нужна. Тут все зависит что в итоге автор хочет, просто несколько дополнительных ног и ячеек, либо что то новое с очень большим количеством ячеек.

Геккон
02.01.2018, 15:28
Ну тогда можно для сохранения преемственности и доступности использовать одну EPM7192SQC160 или две EPM7128STC100



И что, для EPM7192SQC160 как и других CPLD не нужен дополнительная микруха-конфигуратор, а для FPGA EP1K30QC208 (EP1K30TC144) уже нужна. Тут все зависит что в итоге автор хочет, просто несколько дополнительных ног и ячеек, либо что то новое с очень большим количеством ячеек.

vlad
02.01.2018, 15:46
Ну тогда можно для сохранения преемственности и доступности использовать одну EPM7192SQC160 или две EPM7128STC100
Просто нет слов... :(

Геккон
02.01.2018, 16:24
Ну поторопился, был не прав... :) Просьба не пинать...

А конкретнее:

Нужно отталкиваться конечно и смотреть что реально не хватает в этом проекте и от того что захотят реализовывать разработчики...

Реально хотел бы видеть реализацию всего функционала Пентагона от видео-режимов до турбо-режима процессора, нужен обязательно встроенный SD ридер,
по возможности HDMI (реализованы в OMNI128HQ), в противном случае необходимо покупать DivGMX по цене спектрума :(

Можно сделать внутри слот как в Spectrum NEXT для Raspberry Pi Zero чтобы использовать его как преобразователь видеосигнала в HDMI вот проект: https://gitlab.com/victor.trucco/TK-Pie, если доработать ПО то можно использовать как ускоритель и SD ридер, а ведь Raspberry Pi Zero стоит всего от 5 до 10 USD...

Если проблемно выбрать ПЛИС, и остаться на старой, тогда нужно отказываться от чего то устаревшего в пользу современных интерфейсов.



Просто нет слов... :(

andykarpov
02.01.2018, 22:56
Просто нет слов...
Влад, а что, если DivGMX развести под форм-фактор оригинального спектрума ? :)

Вместо контроллера USB сделать что-то попроще для трансляции 40-кнопочной клавиатуры в корку? Atmega8 будет достаточно, наверное.
И предусмотреть место под ESP8266, дабы иметь беспроводную коммуникацию с внешним миром, можно взять за основу ZiFi, например.
Получится крутая штука, почти что ZX Spectrum Next, но на уже проверенной "своей" базе - портировать все корки можно будет очень быстро.
Вместо круглого разъема питания приделать USB Type B какой-нибудь или Micro USB и запихнуть на плату также USB Blaster, чтоб программировать комп не разбирая корпус.

- - - Добавлено - - -


Можно сделать внутри слот как в Spectrum NEXT для Raspberry Pi Zero чтобы использовать его как преобразователь видеосигнала в HDMI вот проект: https://gitlab.com/victor.trucco/TK-Pie, если доработать ПО то можно использовать как ускоритель и SD ридер, а ведь Raspberry Pi Zero стоит всего от 5 до 10 USD...

Вот тут - вопрос, идея интересная, но у Raspberry Pi Zero очень неудобно расположены разъемы для hdmi и SD-карточки, с разных сторон. При этом hdmi там - microHDMI, то есть предполагается наличие переходника в стандартный hdmi....

Цена в 5-10 баксов - таких цен до сих пор нет, к сожалению :(
Это мракетологи придумали, чтоб ажиотаж создать вокруг этой железки, им нужно было сплавить большое количество устаревших Broadcom'овских чипов )))

Геккон
02.01.2018, 23:17
Реально можно купить за 5 USD, но не больше 1 штуки в 1 руки...

https://www.adafruit.com/product/2885
https://www.canakit.com/raspberry-pi-zero.html?src=raspberrypi
https://shop.pimoroni.com/products/raspberry-pi-zero

но с доставкой выйдет те же 16 -17 баков что и на али...


Цена в 5-10 баксов - таких цен до сих пор нет, к сожалению :(
Это мракетологи придумали, чтоб ажиотаж создать вокруг этой железки, им нужно было сплавить большое количество устаревших Broadcom'овских чипов )))

backa
03.01.2018, 04:24
Реально можно купить за 5 USD, но не больше 1 штуки в 1 руки...

да сколь угодно в одни руки)) http://www.microcenter.com/product/486575/Zero_W
мот прикупить для линуксовых страданий ))) 5$

Геккон
04.01.2018, 18:24
Поддерживаю...


Влад, а что, если DivGMX развести под форм-фактор оригинального спектрума ? :)

Вместо контроллера USB сделать что-то попроще для трансляции 40-кнопочной клавиатуры в корку? Atmega8 будет достаточно, наверное.
И предусмотреть место под ESP8266, дабы иметь беспроводную коммуникацию с внешним миром, можно взять за основу ZiFi, например.
Получится крутая штука, почти что ZX Spectrum Next, но на уже проверенной "своей" базе - портировать все корки можно будет очень быстро.
Вместо круглого разъема питания приделать USB Type B какой-нибудь или Micro USB и запихнуть на плату также USB Blaster, чтоб программировать комп не разбирая корпус.

vlad
04.01.2018, 19:18
Чем DivGMX не устраивает?
Разъем X6 можно использовать и для ESP8266... На X8 по I2C подключить можно - датчик температуры, влажности SHT1x, барометр, индикатор, коммутатор TCA9548A... А через крос-плату с несколькими ZXBUS слотами - контроллер дисковода, винта, звуковую...


а что, если DivGMX развести под форм-фактор оригинального спектрума ?
Просто нет слов... :(


Вместо контроллера USB сделать что-то попроще для трансляции 40-кнопочной клавиатуры в корку? Atmega8 будет достаточно, наверное.
Gamepad, флешку, принтер, сканер, клавиатуру, мышь, web камеру куда потом подключать? Сейчас поддерживается две мышки или клавиатуры, два геймпада для возможности игры вдвоем.

Геккон
07.01.2018, 11:45
Есть похожий проект основанный на ZX UNO - ZX GO+
https://github.com/ManuFerHi/ZX-GO-

и ZX-UNO (XL)
https://www.8bits4ever.net/product-page/zx-uno-xl-issue2

единственно не нравятся в этих проектах некоторые моменты, в том числе отсутствие USB и HDMI...



Влад, а что, если DivGMX развести под форм-фактор оригинального спектрума ? :)

Вместо контроллера USB сделать что-то попроще для трансляции 40-кнопочной клавиатуры в корку? Atmega8 будет достаточно, наверное.
И предусмотреть место под ESP8266, дабы иметь беспроводную коммуникацию с внешним миром, можно взять за основу ZiFi, например.
Получится крутая штука, почти что ZX Spectrum Next, но на уже проверенной "своей" базе - портировать все корки можно будет очень быстро.
Вместо круглого разъема питания приделать USB Type B какой-нибудь или Micro USB и запихнуть на плату также USB Blaster, чтоб программировать комп не разбирая корпус.

Геккон
07.01.2018, 14:37
Есть проект Humble48 с минимум корпусов и компактной платой для spectrum 48 case:
http://www.va-de-retro.com/foros/viewtopic.php?f=63&t=5733&sid=950bddee2be6202df71ad4cb204f6bf5

может пригодится что подсмотреть для минимизации и оптимизации следующей правильно ламповой ревизии :)

andykarpov
07.01.2018, 20:09
да сколь угодно в одни руки)) http://www.microcenter.com/product/486575/Zero_W
мот прикупить для линуксовых страданий ))) 5$
Тут только в физическом магазине можно купить. В webstore недоступен.



https://www.adafruit.com/product/2885
https://www.canakit.com/raspberry-pi...rc=raspberrypi
https://shop.pimoroni.com/products/raspberry-pi-zero
но с доставкой выйдет те же 16 -17 баков что и на али...

Я об этом и говорю, за $5 - нереально купить.
С доставкой в Украину, например - отдельная песня.
У Adafruit ее просто нет. На запросы в службу поддержки - не отвечают. Через посредников (всякие почтовые хабы) не отправляют.
У Pimironi примерно такие же грабли.


Чем DivGMX не устраивает?
Разъем X6 можно использовать и для ESP8266... На X8 по I2C подключить можно - датчик температуры, влажности SHT1x, барометр, индикатор, коммутатор TCA9548A... А через крос-плату с несколькими ZXBUS слотами - контроллер дисковода, винта, звуковую...

DivGMX как отдельное устройство - всем устраивает.
Ну, оно как бы торчит из компа, а хотелось бы, чтобы все было внутри. Все - это HDMI и SD-карточка как минимум :)

Подключить ESP8266 через serial порт или по SPI или по I2C или еще как-то - идея норм, только нужно заморочиться и реализовать.
Остальные барометры / датчики - в спектруме не нужны, имхо :) Для этого есть ардуины и прочие -ины :)



Просто нет слов...
Что я такого нереального предложил ? :)



Gamepad, флешку, принтер, сканер, клавиатуру, мышь, web камеру куда потом подключать? Сейчас поддерживается две мышки или клавиатуры, два геймпада для возможности игры вдвоем.
2 Gamepad и/или мышь - это максимум, для чего нужен USB в спектруме.
Все остальное - флешка, принтер, сканер, веб-камера(? :) ) - в топку, имхо.

Геккон
07.01.2018, 20:53
С Вами по всем пунктам согласен.
Еще добавлю, что дополнительные неплохие такие затраты на подключение к спектруму современной периферии отталкивает людей в сторону эмуляторов...


DivGMX как отдельное устройство - всем устраивает.
Ну, оно как бы торчит из компа, а хотелось бы, чтобы все было внутри. Все - это HDMI и SD-карточка как минимум :)

Подключить ESP8266 через serial порт или по SPI или по I2C или еще как-то - идея норм, только нужно заморочиться и реализовать.
Остальные барометры / датчики - в спектруме не нужны, имхо :) Для этого есть ардуины и прочие -ины :)

2 Gamepad и/или мышь - это максимум, для чего нужен USB в спектруме.
Все остальное - флешка, принтер, сканер, веб-камера(? :) ) - в топку, имхо.

andykarpov
07.01.2018, 21:51
Итак, давайте попробуем разложить по полочкам (интерфейсам), что хочется получить (как минимум мне), если уж заморачиваться с новой ревизией:

1) Спектрум-совместимый комп в корпусе от резинки с родной 40-кнопочной мембранной клавиатурой. Это требование практически основное, согласно концепции проекта.
2) Наличие оригинального ZX BUS для подключения внешней периферии. Тут можно рассмотреть целесообразность, делать какой-то огрызок, как в ZX-GO+ не хочется.
3) Современные интерфейсы: HDMI для видео-выхода, USB для Gamepad'ов / мыши, SD-Card для загрузки TAP/TRD/etc. При этом количество USB может быть больше 2х (вероятно - 4 будет достаточно, как в MiST).
4) Наличие аналоговых интерфейсов для TAPE IN / TAPE OUT / SOUND OUT - нужно оставить для ощущения духа 80-х :)
5) Наличие встроенного USB-Blaster'а для удобства программирования платы без разборки корпуса

В общем, давайте думать :)

Геккон
07.01.2018, 22:26
Все правильно, а USB думаю хватит и 2, больше пока не вижу необходимости, главное не меньше, да и наверное проблематично будет разместить большое количество портов в этом корпусе...(Честно говоря не понял почему у Next нет ни одного USB, хотя бы для современного джойстика.)



Итак, давайте попробуем разложить по полочкам (интерфейсам), что хочется получить (как минимум мне), если уж заморачиваться с новой ревизией:

1) Спектрум-совместимый комп в корпусе от резинки с родной 40-кнопочной мембранной клавиатурой. Это требование практически основное, согласно концепции проекта.
2) Наличие оригинального ZX BUS для подключения внешней периферии. Тут можно рассмотреть целесообразность, делать какой-то огрызок, как в ZX-GO+ не хочется.
3) Современные интерфейсы: HDMI для видео-выхода, USB для Gamepad'ов / мыши, SD-Card для загрузки TAP/TRD/etc. При этом количество USB может быть больше 2х (вероятно - 4 будет достаточно, как в MiST).
4) Наличие аналоговых интерфейсов для TAPE IN / TAPE OUT / SOUND OUT - нужно оставить для ощущения духа 80-х :)
5) Наличие встроенного USB-Blaster'а для удобства программирования платы без разборки корпуса

В общем, давайте думать :)

vlad
07.01.2018, 22:41
andykarpov, если собираешься барабасить, то важно именно твоё видение. Надеюсь делаешь в первую очередь для себя.
Я решил заняться куда более захватывающей разработкой - TS-Conf, более улучшенные процессоры, TSU, менеджер памяти, графика... TSL сам не справиться, и ему нужна помощь.

Геккон
09.01.2018, 15:20
Учитывая данную задачу, что если обратить внимание на Xilinx Spartan-6 XC6SLX9 как самый недорогой 5-6 USD и доступный вариант? Кстати вроде как на нем и строится Spectrum Next...Altera Cyclone III дороже в 3 раза и менее доступен на али рассыпухой...



Итак, давайте попробуем разложить по полочкам (интерфейсам), что хочется получить (как минимум мне), если уж заморачиваться с новой ревизией:

1) Спектрум-совместимый комп в корпусе от резинки с родной 40-кнопочной мембранной клавиатурой. Это требование практически основное, согласно концепции проекта.
2) Наличие оригинального ZX BUS для подключения внешней периферии. Тут можно рассмотреть целесообразность, делать какой-то огрызок, как в ZX-GO+ не хочется.
3) Современные интерфейсы: HDMI для видео-выхода, USB для Gamepad'ов / мыши, SD-Card для загрузки TAP/TRD/etc. При этом количество USB может быть больше 2х (вероятно - 4 будет достаточно, как в MiST).
4) Наличие аналоговых интерфейсов для TAPE IN / TAPE OUT / SOUND OUT - нужно оставить для ощущения духа 80-х :)
5) Наличие встроенного USB-Blaster'а для удобства программирования платы без разборки корпуса

В общем, давайте думать :)

Геккон
09.01.2018, 15:47
Вам виднее...
Вот достаточно интересная вещь:
https://www.scarabhardware.com/shop/

Даже есть реализация спектрума на нем:
https://www.youtube.com/watch?v=41dQbSotC70

miniSpartan 6+ ULAPlus (там есть ссылка на проект):
https://www.youtube.com/watch?v=QVmSfQpZ9iw

Спецы предлагайте доступные варианты...


на Следующем вроде как XC6SLX16 или объемнее. ну и USB там же через RPi/
да, не забывай приплюсовывать программер, он менее гуманен, чем Альтеровский

kox
09.01.2018, 17:06
Стоит заметить, что пользователи данного форума более тяготеют с ПЛИС Altera, Xilinx здесь скорее экзотика. А вы видимо наоборот. Каждый черт свое болото хвалит.:smile:

Геккон
09.01.2018, 17:15
Я ничего не хвалю, просто предлагаю дешевые и доступные варианты, тем более что на нем уже реализован ZX-Uno.


Стоит заметить, что пользователи данного форума более тяготеют с ПЛИС Altera, Xilinx здесь скорее экзотика. А вы видимо наоборот. Каждый черт свое болото хвалит.:smile:

Геккон
09.01.2018, 17:29
Выше была приведена для примера плата miniSpartan6+ c HDMI, USB и SD-card,
чип стоит 5-6 долларов и вполне доступен для обычных смертных на али...
Ваше предложение?


чем же они дешевые??? zx-uno, ну пусть не в коробке, но голая плата, которую можно запустить - 50 $/евро. ладно, программер не считаем, можно и без него именно эту плату запустить, а для других - нужОн точно. Что дешевле и функциональнее?? ну чтобы хоть плата, с памятью, выходом VGA и PS\2? даже без USB

Геккон
09.01.2018, 17:37
Ваше предложение?


чип - это пустой чип, а по ссылке
https://www.scarabhardware.com/shop/
75 и 105$
ну да. попадаются платы за 18$ , но с SDRAM, на которые как минимУм надо колхозить периферию.
Впрочем изобретателей велосипедов это не останавливало никогда*?

Геккон
09.01.2018, 18:31
Тут разговор не про платы, а подбор вариантов чипов ПЛИС для данного проекта...
Готовые платки упоминались в контексте чтобы совсем уж с чистого листа все не придумывать...


мое - как всегда одно. или DE10nano на Альтере, или AEON\ZXUno(клоны) - на ксайлинке. На решетке за 40$ есть платка то жи интересная
Отсальное - от Лукавого для велосипедистов.

andykarpov
09.01.2018, 18:38
Как-то мы чуток в сторону ушли, имхо :) Предлагаю вынести обсуждение девборд в отдельную ветку :)

По поводу Xilinx, мое мнение - можно и ее, но:
1) с этими камнями нет опыта,
2) ISE вроде как только под Windows есть - что есть плохо.
3) Получится в итоге ZX-GO+ ;)

Автор ZX-GO+, кстати, жаловался, что прослойка в виде дешевого МК (там atmega какая-то простенькая, кажется) сильно вносит дискомфорт при трансляции 40-кнопочной клавиатуры внутрь FPGA. Может просто накосячили ? :)

Я хочу продолжить традицию и делать на Altera, все-таки, примерно так вижу будущую железку, назовем ее Karabas Next ? ;) :

1) Altera Cyclone IV EP4CE10 / EP4CE15 / EP4CE22 ;)
2) SDRAM 16x8
3) W25Q64
3) HDMI (или microHDMI), смотря что поместится в стардартную дырку видео-выхода резинки
4) microSD - непонятно пока, в какое место ее физически присобачить
5) 3.5mm TapeIn/Out
6) 3.5mm Stereo Out
7) ZX BUS
8) USB: FE1.1 или FE1.1S в качестве usb-хаба, MAX3421E в качестве аппаратного SPI usb-host контроллера,
9) Atmega328 или что-то, чего хватит в качестве контроллера клавиатуры, прокси USB HID репортов от USB-клавы/мыши/геймпадов внутрь FPGA. Также можно заюзать для бут-лоадера (наработки в проекте SPI-VGA для Reverse-U16)
10) FTDI чип для USB-to-Serial, для программирования атмеги из Arduino IDE по USB
11) USB-B или micro-USB в качестве разъема питания (он же - для программирования атмеги)
12) * RTC DS1338 + батарейка - под вопросом, нужно ли оно кому-нибудь
13) * ESP8266 модуль (ESP12, наверное. или ESP02, хз) - тоже под вопросом

andykarpov
09.01.2018, 19:07
Господа, мы ж не коммерческий массовый продукт тут делаем :)
Нужно сделать поправку на то, что это в первую очередь - хобби-разработка, поэтому 90% всех требований из плана vlad'а можно запросто выкосить :)

Первое, с чего хочу начать - это прототипирование.

В качестве базовой платформы для тестовой площадки вполне сгодится Reverse-U16 или DivGMX, благо и то и другое есть под рукой :)
Осталось дождаться от китайцев MAX3421E и FE1.1, затраты на подготовку к тестовому запуску с моей стороны - около $5. :)

Получится связка из SPI USB-host + Arduino + Reverse-U16 с задизейбленным VNC2 и Ethernet контроллером :)
Если все получится на прототипе - будем двигаться дальше, и разработка сведется к грамотному проектированию схемы, платы и заказу первой пробной партии плат, потом сборка опытного образца :)

Тут затраты уже будут чуть весомее, но все равно для хобби-проекта - не космические. Так и с Карабасом было, собственно.

Геккон
09.01.2018, 19:32
Зачем все это понаписал...
Я спрашивал конкретно какой можете предложить чип...У разработчика есть предпочтение. Обсуждение закончено :)
Я пусть немного в другом ключе, но сталкиваюсь с проектированием и запуском в производство, так что все это знаю не по наслышке...


Что предстоит вкратце:
1. Анализ (бюджет, оптимизация, цена ошибки)
- определение концепции устройства
- создание плана проекта
- подготовка тех. задания на разработку устройства

2. Разработка (железо, корпус, софт, технологии)
- техническая проработка
- создание спецификации устройства
- разработка HW, SW, ID
- сборка опытных образцов
- проведение испытаний

3. Производство (опытные образцы и испытания)
- сертификационные испытания
- создание плана производства
- производство оснастки
- выпуск установочной партии

4. Серийное производство (поддержка и обслуживание)
- поддержка конструкторской документации
- поддержка ПО
- техподдержка
- анализ проблем

Делаем?

Геккон, представим, что вы разработчик, сколько вы бы попросили за разработку платы? Подготовку тех.описания? Сборку и отладку прототипа? Разработку и тестовую отладку конфигурации?
Вы приводите ценники серийных изделий, исчисляемых тысячами единиц, это не соизмеримо с единичным производством и трудо-затратами на их разработку здесь.

Геккон
09.01.2018, 20:00
Где брать будем? :o


Altera Cyclone IV EP4CE10 / EP4CE15 / EP4CE22

- - - Добавлено - - -

Ты начинаешь безосновательно цеплятся к словам. Видимо тебе делать нечего...
Смотри в каком это написано контексте. А то выглядишь как слон в посудной лавке.


Думаю разработчик ответить за себя может самостоятельно.

Это никому не интересно, не на презентации же.

andykarpov
09.01.2018, 20:17
Я бы всё-же начал с п.1, если конечно устройство не планируется использовать для сбора пыли...

Ну, как-то так получается:

Бюджет: $100, это та сумма, которую не жалко спустить на закупку компонентов и выпуск опытного образца.
Затраты времени на саму разработку не считаю, ибо сабж некоммерческий, делаю для своего удовольствия :)

Концепция устройства: Девборда на FPGA, спроектированная под корпус "резинки", со всеми вышеозвученными плюшками, повторяющая функциональность DivGMX в master-режиме, с заменой неудобного VNC2 в пользу связки из специализированного чипа с распространенным микроконтроллером (в идеале - atmega328, но ног не хватит, поэтому следующий кандидат - atmega2560), под который есть куча готовых библиотек и IDE под все платформы. Считаю, что именно этот момент снизит порог вхождения в проект и даст возможность подключиться к разработке сторонним девелоперам, если возникнет желание.

ЦА: ретро-маньяки и просто интересующиеся товарищи с zx-pk.ru :)

Примерный план разработки:
1) Наваять протокол обмена по SPI между контроллером и FPGA, сделать трансляцию 40-кнопочной клавиатуры и USB HID репортов по SPI
(если сильно упростить - получится около 5-6 байт в пачке: 1 байт - состояние внутренней клавиатуры, 1 байт - внешней, 1 байт - джой1, 1 байт - джой2, N байт - мышь)
2) Предусмотреть сигналы AVR_BUSY, FPGA_READY (как в Aeon-Lite), дабы исключить пограничные ситуации
3) Допилить SPI-VGA проект, который будет прошиваться в SPI Flash в качестве бут-лоадера, который позволит:
3.1) Выбрать конфигурацию для загрузки с SD-карты из списка (битстрим - в FPGA через дрыганье JTAG пинами, образы ROM - в SDRAM по SPI)
3.2) Сделать настройку RTC
3.3) Сделать Upgrade прошивки SPI Flash с SD-карты
4) Спроектировать получившуюся схему и плату
5) Переписать существующие конфигурации под DivGMX на новый протокол обмена с USB HID, выкосить loader'ы из них в пользу одного глобального loader'а



- - - Добавлено - - -


Где брать будем?
На ali, естественно :)
Там разброс цен в зависимости от количества и продавца, но вцелом - можно найти вменяемые:
EP4CE22E22C8N - стоит в районе $13-$15
EP4CE15E22C8N - около $10
EP4CE10E22C8N - около $8

Геккон
09.01.2018, 20:38
Сколько еще нужно входов/выходов? Есть еще ATmega32u4 с 26 I/O (правда это всего на 3 больше чем у atmega328), но он еще со встроенным USB. Кроме того, так как ATmega32U4 обрабатывает USB напрямую, доступны коды библиотек, которые позволяют эмулировать клавиатуру или мышь от компьютера и многое другое с помощью протокола USB-HID.
FTDI чип для USB-to-Serial тогда уже будет не нужен... напоминаю ATmega32u4 это Arduino Leonardo ;)


в идеале - atmega328, но ног не хватит

andykarpov
09.01.2018, 22:21
Сколько еще нужно входов/выходов?
Пока насчитал
1) 8 - KBA
2) 5 - KBD
3) 4 - JTAG
4) 2 - SERIAL + 1 RESET
5) AVR_BUSY
6) FPGA_READY
7) 3 - SPI (MOSI, MISO, SCLK)
8) SD_CS, VGA_CS, SDRAM_CS, ...
9) USB_CS + USB_INT + USB_GPX
Итого, пока получается 8+5+4+2+1+1+3+3+3=30, это при условии одного SPI на все. Хотя при наличии свободных пинов можно сделать несколько SPI под каждое устройство.... То есть ни atmega328, ни 32u4 тут ну никак не вписываются :(


так как ATmega32U4 обрабатывает USB напрямую, доступны коды библиотек, которые позволяют эмулировать клавиатуру или мышь от компьютера и многое другое с помощью протокола USB-HID.
Atmega32u4 не умеет быть usb host'ом, только девайсом.
Хотя умельцы и на Atmega8 умудрились реализовать low-speed usb host для usb hid, вроде как :)

- - - Добавлено - - -


Может тогда уже ZX Spectrum Next переразвести? Совместимость на будущее не помешает. Смотрю там на плате места много свободного, хватит под все хотелки

А идея мне нравится :) Вместо BGA поставить православный TQFP :)

Схемы нет, платы чистой нет, чтобы отреверсить.
Да и потом проблемы с копирайтами могут вылезти... :(

kox
09.01.2018, 22:27
Если пины остануться, то можно статику повесить, и General Sound запилить. Ну это я уж размечтался.

omercury
09.01.2018, 23:12
Как-то мы чуток в сторону ушли, имхо :) Предлагаю вынести обсуждение девборд в отдельную ветку :)

По поводу Xilinx, мое мнение - можно и ее, но:
1) с этими камнями нет опыта,
2) ISE вроде как только под Windows есть - что есть плохо.
3) Получится в итоге ZX-GO+ ;)
4, 5, 6 и 7 - ISE не поддерживает System Verilog от слова "совсем"
фтопку!



1) Altera Cyclone IV EP4CE10 / EP4CE15 / EP4CE22 ;)
2) SDRAM 16x8
3) W25Q64
3) HDMI (или microHDMI), смотря что поместится в стардартную дырку видео-выхода резинки
4) microSD - непонятно пока, в какое место ее физически присобачить
5) 3.5mm TapeIn/Out
6) 3.5mm Stereo Out
7) ZX BUS
8) USB: FE1.1 или FE1.1S в качестве usb-хаба, MAX3421E в качестве аппаратного SPI usb-host контроллера,
9) Atmega328 или что-то, чего хватит в качестве контроллера клавиатуры, прокси USB HID репортов от USB-клавы/мыши/геймпадов внутрь FPGA. Также можно заюзать для бут-лоадера (наработки в проекте SPI-VGA для Reverse-U16)
10) FTDI чип для USB-to-Serial, для программирования атмеги из Arduino IDE по USB
11) USB-B или micro-USB в качестве разъема питания (он же - для программирования атмеги)
12) * RTC DS1338 + батарейка - под вопросом, нужно ли оно кому-нибудь
13) * ESP8266 модуль (ESP12, наверное. или ESP02, хз) - тоже под вопросом
Чем не устраивает ReVerSe или DivGMX ?

- - - Добавлено - - -


Стоит заметить, что пользователи данного форума более тяготеют с ПЛИС Altera, Xilinx здесь скорее экзотика.
Стоит заметить, что ПЛИС Альтеры всегда были доступны на территории бывшего СССР, чего нельзя сказать о ксаях.
Так исторически сложилось.


Учитывая данную задачу, что если обратить внимание на Xilinx Spartan-6 XC6SLX9 как самый недорогой 5-6 USD и доступный вариант?
С учётом вышенаписанного, скорее нет, чем да...


Вот достаточно интересная вещь:
По параметрам только последняя (https://www.scarabhardware.com/product/minispartan6-with-spartan-6-lx-25/) ближе всего к "поделкам" Влада.
За исключением Host USB.



Ваше предложение?

Есть золотое правило: сам предложил - сам сделал.

- - - Добавлено - - -


с заменой неудобного VNC2 в пользу связки из специализированного чипа с распространенным микроконтроллером (в идеале - atmega328, но ног не хватит, поэтому следующий кандидат - atmega2560), под который есть куча готовых библиотек и IDE под все платформы.

Атмега умеет USB-HOST? )))



Пока насчитал
1) 8 - KBA
2) 5 - KBD
3) 4 - JTAG
4) 2 - SERIAL + 1 RESET
5) AVR_BUSY
6) FPGA_READY
7) 3 - SPI (MOSI, MISO, SCLK)
8) SD_CS, VGA_CS, SDRAM_CS, ...
9) USB_CS + USB_INT + USB_GPX
Итого, пока получается 8+5+4+2+1+1+3+3+3=30, это при условии одного SPI на все. Хотя при наличии свободных пинов можно сделать несколько SPI под каждое устройство.... То есть ни atmega328, ни 32u4 тут ну никак не вписываются :(
Может хватит уже?
Для приверженцев Ардуиньи есть stm32duino (http://wiki.stm32duino.com/index.php?title=STM32F407)
...для остальных есть нормальные IDE. )))




А идея мне нравится :) Вместо BGA поставить православный TQFP :)
А пинЫ?
Что мешает поставить готовый Core (с SDRAM сразу), коих на Али вагон с тележкой.


Бюджет: $100, это та сумма, которую не жалко спустить на закупку компонентов и выпуск опытного образца.
Для начала паять ничего не надо.
МК (https://ru.aliexpress.com/item/STM32F407VET6-Cortex-M4-STM32/32841069011.html)
Либо (https://ru.aliexpress.com/item/gold-official-store-ALTERA-development-board-FPGA-learning-board-NIOS-EP4CE6-Student-Edition/32790435643.html?spm=a2g0s.9042311.0.0.nZ0qUg) либо (https://ru.aliexpress.com/item/FPGA-development-board-ALTERA-Cyclone-IV-EP4CE-four-generations-SOPC-NIOSII-send-send-remote-control-to/32691369830.html?spm=a2g0s.8937460.0.0.BISIS4)

Вот это (https://ru.aliexpress.com/item/only-E15-SDRAM-altera-EP4CE15F17C8N-board-fpga-board-altera-board-altera-fpga-development-board-fpga-development/32800440716.html?spm=a2g0s.9042311.0.0.uDVggu) КАТЕГОРИЧЕСКИ не рекомендую - память разведена отвратно.

andykarpov
09.01.2018, 23:33
Атмега умеет USB-HOST? )))

Atmega - нет, не умеет :) я ж писал, что MAX3421e планируется по SPI юзать в связке с Atmega.
Тут скорее вопрос о доступности и простоте средств разработки. По совокупной стоимости микросхем - сопоставимо со стоимостью VNC2 ;)

VNC2, вероятно, вещь хорошая, но вот что документация, что средства разработки - полный отстой. И только под винду доступно :(

- - - Добавлено - - -


Может хватит уже?
Для приверженцев Ардуиньи есть stm32duino
...для остальных есть нормальные IDE. )))

Не совсем понял "посыл" :)
Хватит что именно?
В том то вся и фишка, что наличие IDE для ардуины - не принципиально.
Хоть к XCode через makefile его привинчивай, хоть к Netbeans, хоть к Notepad, главное что avr-gcc кросс-платформенный :)

STM32 - классная вещь, не спорю, но жутко проприетарная.
stm32duino - работает только на младших камушках.

И что есть "нормальные IDE", если не секрет?

- - - Добавлено - - -


Что мешает поставить готовый Core (с SDRAM сразу), коих на Али вагон с тележкой.
О! а это идея :) Распиновка у этих Core не блуждает? Чтоб не получилось, как с breakout boards с экранчиками от Nokia, коих несколько разных распиновок имеется :(

omercury
09.01.2018, 23:50
Пишут, что цена. Хз, что делать... могу снизить цену DivGMX Ultimate ещё на $10...
$90 за девборду?
Конечно с Китаем не побороться, там тысячи...
С другой стороны плату Next за 119 фунтов ($160,65) покупают и не облезают...)))

Как уже писал, надо делать либо с корпусом, либо (предпочтительней) под стандартный корпус.
Аудитория-то целевая.



если продавать по себестоимости, то желание их собирать и делать конфиги окончательно пропадает
Да это не заработок по-любому.

Вот (http://kazus.ru/forums/showthread.php?t=115930) реально интересное предложение.
Если поговорить с czed, возможно что и выгорит.

andykarpov
09.01.2018, 23:50
Пишут, что цена. Хз, что делать... могу снизить цену DivGMX Ultimate ещё на $10... если продавать по себестоимости, то желание их собирать и делать конфиги окончательно пропадает :(

Лично меня всем устраивают Reverse-U16 и DivGMX, как самодостаточные устройства.

У меня же цель несколько иная - сделать законченное устройство с возможностями этих платформ, но в корпусе "резинки" :)
Желательно так, чтобы не разбирать корпус каждый раз, когда захочется обновить конфигурацию.
Для этого, собственно, и хочется привинтить какой-либо доступный и распространенный МК, с кучей готовых библиотек, чтобы не изобретать велосипел под свои потребности :) STM32, кстати, рассматривался, как один из вариантов. Только не 407, а что-нибудь попроще, типа STM32F103

omercury
10.01.2018, 00:09
Хватит что именно?
Устаревшей дорогой платформой пользоваться.
(в контексте форума звучит, конечно, странно)


STM32 - классная вещь, не спорю, но жутко проприетарная.
GCC есть и для АРМов.))) Бесплатный кокос в конце концов. Есть бесплатная версия Кейла, правда там ограничение по размеру кода (для F0 ограничений нет)


И что есть "нормальные IDE", если не секрет?
Это с которыми можно обойтись без матершины... ;)
...а просто сесть и работать.


Распиновка у этих Core не блуждает?
Блуждает, разумеется.
Надо выбрать производителя.

omercury
10.01.2018, 00:19
$53 с корпусом
С оргстеклом на стоечках?
Для борды это годится, для компа однозначно - нет.



Это у вас оно реально интересное...
Дальше в тексте есть упоминание, что возможно рассмотрят удалёнку.
Специфика у них, правда, своя - гигагерцы, гигабиты...



Только не 407, а что-нибудь попроще, типа STM32F103
Самый младший с HOST-OTG STM32F105/107.
Часики, кстати, с ними уже не понадобятся.)))

В 407 полно и другого дополнительного железа, та же физика для сетки к примеру.

omercury
10.01.2018, 00:28
И где этот корпус взять-то и почём?

Как вариант взять гербер от Карабаса у ЭндиКарпова)))
Думаю, обойдётся бэзвозмэздно.

- - - Добавлено - - -


Скрытый текст
нету ничего под катом...(((

vlad
10.01.2018, 00:32
нету ничего под катом...(((
http://forum.tslabs.info/viewtopic.php?f=6&t=691

omercury
10.01.2018, 00:42
На какой частоте Next удалось запустить?
В контексте распределения памяти.

vlad
10.01.2018, 00:50
На какой частоте Next удалось запустить?
Старый некст (новое ядро в разработке) может работать на 42МГц в кэш, что соизмеримо 140МГц для Спектрума. По ссылке есть видео теста (https://www.youtube.com/watch?v=PvDHs7dZvf0).

omercury
10.01.2018, 01:09
Старый некст (новое ядро в разработке) может работать на 42МГц в кэш, что соизмеримо 140МГц для Спектрума.
Кэш-то понятно, я думал там поделье Виктора со всем фаршем с циклом SDRAM мегагерц так в 25!!!(((

vlad
10.01.2018, 01:22
я думал там поделье Виктора со всем фаршем с циклом SDRAM мегагерц так в 25!!!(((
Я спрашивал, нужна ли конфигурация некста, написали что нет :) Сейчас готовится рефакторинг TS-Conf, а это куда более интересней чем просто ещё один "следующий" ZX Spectrum ) Нужно поддерживать своё.

omercury
10.01.2018, 01:38
Нужно поддерживать своё.
Без порно.
Только вопрос, на каком железе?
Или Пентева будет "питальником для DivGMX" (с)

Вот тут и возникает новая железка, в которую надо заложить все текущие и возможные на ближайшее время наработки.
Может та же ЮЛАХа взлетит))). Не просто ж так я их по затратам железа пытаю.
То есть либо многоногая ФПГА (уже БГА) с 2-3 каналами памяти, либо пара менее ногастых со своим обвесом и быстрой внутренней шиной.
Выползает сама шина и стандартизация общения на ней (хотя возможен вариант точка-точка, тогда протокол может быть любой), а тут википедию (блакката) долбанули.
А уж если железка всё равно возникает, то она просто обязана быть с корпусом. Или в типовой корпус.

andykarpov
10.01.2018, 01:38
Сообщение от vlad
И где этот корпус взять-то и почём?
Как вариант взять гербер от Карабаса у ЭндиКарпова)))
Думаю, обойдётся бэзвозмэздно.

Да я и исходники могу расшарить, кому интересно :)
По поводу корпуса - что-то я потерял нить разговора, если речь идет про корпус резинки - то новодельный, вестимо, на retroradionics.co.uk ;)

vlad
10.01.2018, 11:15
Или Пентева будет "питальником для DivGMX" (с)
Если DivGMX рассматривать как плату расширения, простое и оптимальное решение, то почему бы и нет? Или новая Пентева или Карабас получаться дешевле и функциональнее? А что предлагаете делать со старой и уже никому не нужной платой Пентевы или Карабас и периферией к ней? Может планируется какая-то новая конфигурация для новой платы?

Вот тут и возникает новая железка, в которую надо заложить все текущие и возможные на ближайшее время наработки.
Здесь это сейчас и пытаемся обсудить. Если возникла потребность в новом железе, что на сегодня сомнительно, то оно должно соответствовать определённым критериям, что пока не наблюдается.

omercury
10.01.2018, 13:29
Если возникла потребность в новом железе, что на сегодня сомнительно

- в ReVerSE можно воткнуть DivGMX?
- вместе их можно установить в корпус "резинки"?
- а хотя бы по-отдельности?
- можно ли в них зашить какую-либо конфигурацию с поддержкой всей периферии (для любого конфига из ReVerSE и DivGMX на Вашем гите) и, скажем, акселя в Full HD 1980 ?

Вопросы риторические, ответов не требуют - они мне известны.
Если хотя бы на один из них Вы имеете ответ "да" (кроме последнего, на это железо имеется, но оно не Ваше, да и в корпус опять же не подходит), то делать действительно ничего не надо.

- - - Добавлено - - -

vlad, может правда уже достаточно посты удалять?
Весь контекст теряется.(((

vlad
10.01.2018, 13:52
- в ReVerSE можно воткнуть DivGMX?
Смысл? Да, можно, и не только


https://www.youtube.com/watch?v=MIculTTt_Uk


- вместе их можно установить в корпус "резинки"?
- а хотя бы по-отдельности?
Без проблем, и не только, но мне больше нравится когда к DivGMX подключена куда более удобная USB клавиатура.


https://www.youtube.com/watch?v=k5r6yog2pjQ

- - - Добавлено - - -


может правда уже достаточно посты удалять?
Весь контекст теряется.(((
Что, есть ещё такие, кому этот контекст здесь интересен? И как это узнать?

Anubis_OD
10.01.2018, 14:14
Что, есть ещё такие, кому этот контекст здесь интересен? И как это узнать?

Внизу есть: как пример
"Эту тему просматривают: 6 (пользователей: 4 , гостей: 2)
Anubis_OD, Mor-Da, omercury"

omercury
10.01.2018, 15:30
- в ReVerSE можно воткнуть DivGMX?Да, можно, и не только
Попросим топикстартера продемонстрировать?
У него, вроде как, и ReVerSE и DivGMX есть.



- вместе их можно установить в корпус "резинки"?
- а хотя бы по-отдельности?Без проблем, и не только
И это тоже, вот прямо воткнуть одно в другое, засунуть в корпус и сфоткать!
...а потом закрыть крышку и тоже сфоткать.
можно даже не включать. )))

Пожалуйста!!
Просим-просим!!!

andykarpov
11.01.2018, 14:44
В первом приближении, вот такая блочная диаграмма Karabas Next вырисовывается:

http://i.piccy_.info/i9/6722d0503018f9b34f818f86f2fe78f6/1515671044/44560/1127497/Karabas_Next_800.jpg (http://piccy_.info/view3/11952416/a89e91e653b8c6fafd884a447587a691/orig/)http://i.piccy_.info/a3/2018-01-11-11-44/i9-11952416/800x502-r/i.gif (http://i.piccy_.info/a3c/2018-01-11-11-44/i9-11952416/800x502-r)

Mor-Da
11.01.2018, 15:25
Я фпрягаюсь... Только я не знаю дороги.
P.S. А если AVR взять как у UNO, чтоб беспрепятственно можно было таскать оттуда конфы (Sam Coupe охота попробовать)

Totem
11.01.2018, 15:38
SDRAM 16x8 = ZX ULAX будет точно плакать.
https://u.livelib.ru/book/1000448019/l/1986pj8c/Marvin-l.png

andykarpov
11.01.2018, 15:59
Я фпрягаюсь... Только я не знаю дороги.
P.S. А если AVR взять как у UNO, чтоб беспрепятственно можно было таскать оттуда конфы (Sam Coupe охота попробовать)
;)
Нет на UNO никаких AVR...

Mor-Da
11.01.2018, 17:17
;)
Нет на UNO никаких AVR...А, да, зарапортавался... Конечно речь шла про MiST

vlad
11.01.2018, 18:50
SDRAM 16x8 = ZX ULAX будет точно плакать.
SDRAM 16bit вполне реально, если установить EP3C10, в ней больше пользовательских выводов. RTC и Stereo IN/OUT... подключить к МК, + ещё 6 выводов.

omercury
11.01.2018, 19:07
А, да, зарапортавался... Конечно речь шла про MiST

А зачем нужен ещё и АВР, когда на борту двухядерный АРМ А9???
Извините...

vlad
11.01.2018, 19:16
А зачем нужен ещё и АВР, когда на борту двухядерный АРМ А9???
???
Если речь о MiSTer (https://github.com/MiSTer-devel/Main_MiSTer/wiki), тогда да, он на базе Terasic DE10-nano board (http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=1046), там да - 800MHz Dual-core ARM Cortex-A9 processor.

omercury
12.01.2018, 00:59
???
Если речь о MiSTer (https://github.com/MiSTer-devel/Main_MiSTer/wiki), тогда да, он на базе Terasic DE10-nano board (http://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=1046)

Не только (http://www.atari-forum.com/viewforum.php?f=117), но в любом случае там SOC, и сложно придумать более глупое занятие, чем добавлять туда АВР.



Конечно речь шла про MiST
Извиняюсь, но там (https://github.com/mist-devel/mist-board/wiki/TheBoard), кстати, тоже стоит АРМ.

- - - Добавлено - - -


В первом приближении
У меня тоже примерно так же...


ZX ULAX будет точно плакать.
А так?
FPGA 2 под мои or ваши or мои + ваши нужды.)))

HardWareMan
12.01.2018, 07:41
А так?
FPGA 2 под мои or ваши or мои + ваши нужды.)))
Обычно пишут "под мои и/или ваши нужды".

omercury
12.01.2018, 08:18
Такую конструкцию верилог не поддерживает.(((

Totem
12.01.2018, 09:11
Не только (http://www.atari-forum.com/viewforum.php?f=117), но в любом случае там SOC, и сложно придумать более глупое занятие, чем добавлять туда АВР.


А так?
FPGA 2 под мои or ваши or мои + ваши нужды.)))

смотрите какой монстр -люди сходят с ума и говорят на верилоге.
https://i.pinimg.com/originals/9f/5c/f4/9f5cf4a80ecbd9cef26408e312593b6e.jpg

HardWareMan
12.01.2018, 11:10
У верилога нет or и and, у него есть | и &. :v2_dizzy_facepalm:

Mor-Da
12.01.2018, 11:23
Может...?63687

NiKa
12.01.2018, 12:51
Ну всё понеслось, вместо того, что бы добиться совместимости с оригинальным 128 спектрумом, будем навешивать всякую фигню, жаль начиналось всё хорошо, а кончилось ZX Spectrum Next.

omercury
12.01.2018, 14:23
У верилога нет or и and
Да ну? (http://verilog.renerta.com/mobile/source/vrg00022.htm)



у него есть | и &. :v2_dizzy_facepalm:

В данном случае || и &&




Ну всё понеслось, вместо того, что бы добиться совместимости с оригинальным 128 спектрумом
Разве бОльшие возможности закрывают доступ к меньшему?
А то, о чём Вы говорите, называется "персеверация".

andykarpov
12.01.2018, 14:49
Ну всё понеслось, вместо того, что бы добиться совместимости с оригинальным 128 спектрумом, будем навешивать всякую фигню, жаль начиналось всё хорошо, а кончилось ZX Spectrum Next.

Никто не мешает добиться совместимости с оригинальным 128 спектрумом на текущей платформе Карабас-128, но что-то ни у кого, включая меня, скилов нет в достаточной мере, и желания, по всей видимости :) Чтобы добиться совместимости с оригинальным 128 спектрумом - нужно сильно курить тайминги ULA128... Лично меня с головой устраивает получившийся результат, если честно, поэтому тратить пол-жизни на его допиливание до потактовой совместимости с 128 нет ни малейшего желания...

А по поводу Karabas-Next - у меня такая идея крутилась в голове изначально, если честно.
Правда, до сих пор сомневаюсь в целесообразности городить yet another железку, если она останется недоделанной, на пол-пути к цели.
Поэтому, как я уже говорил, для начала попробую на Reverse-U16 + немного breadboard'инга, дабы реализовать все задумки.
Если все получится - будем дальше заморачиваться и/или обсуждать :)

Чего не хватает в текущих FPGA железках для воспроизведения ретро-компов:
1) законченности - то железо не до конца продумано, то сама реализация корок оставляет желать лучшего. это касается и Aeon Lite, и Reverse, и DivGMX...
2) отсутствие корпуса - вот почему-то никто (кроме Aeon Lite и Speccy 2010) не проектировали железки под конкретный корпус. С эстетической точки зрения такие поделки получаются невостребованными в широком кругу потенциальных потребителей. идеальный вариант, с моей точки зрения - это новодельный корпус от резинки.
3) удобной загрузки конфигураций, из boot-меню, как в ZX UNO или Aeon Lite. А для этого МК и нужен.

NiKa
12.01.2018, 14:59
Лично меня с головой устраивает получившийся результат, если честно, поэтому тратить пол-жизни на его допиливание до потактовой совместимости с 128 нет ни малейшего желания...
У меня точно пол-жизни прошло, ровно 30 лет назад я собрал свой первый спектрум и вот спустя столько лет собираю снова, а совместимости как не было так и нет.
Мне кажется, что этой своей неизведаностью он наверное и привлекателен.

andykarpov
12.01.2018, 17:57
Извиняюсь, но там, кстати, тоже стоит АРМ.

Мне вот интересно, использование ARM - это дань моде или реально тут нельзя обойтись простеньким МК?

zx-kit
12.01.2018, 19:22
Мне вот интересно, использование ARM - это дань моде или реально тут нельзя обойтись простеньким МК?

Заметил, что как только планируешь в схему Атмегу - сразу налетают с вопросами, почему не ARM. А по мне Атмега - хороший МК, подходит для большинства задач и на нем все программировать умеют. А при желании легко научиться.

Totem
12.01.2018, 19:23
Мне вот интересно, использование ARM - это дань моде или реально тут нельзя обойтись простеньким МК?
Speccy 2010 -ответ на все вопросы-удобство, открытые сырки, цена, относительная простота сборки и т.д.
у "карабасика" неоспоримый плюс, перед Speccy-2007 -системный разъем.
Оба собираются достаточно просто, даже теми, кто паяльник пару раз держал.



andykarpov,
omercury,
могу вам предложить сразу 2 концепта, в обоих вариантах, могу поддержать "железяками".

zx-kit
12.01.2018, 19:37
andykarpov, клонов Спектрума уже много. Предлагаю сделать игровой компьютер с режимом Метеор без режима Спектрум, без SD, HDMI, USB и т.д. Клавиатуру и корпус можно оставить. Хотя это уже не Спектрум. Лучше корпус поменьше и клавиатуру PS/2. Загружать игры с картриджа без хождения по менюшкам как это сейчас на системах с SD.

Totem
12.01.2018, 20:52
andykarpov, клонов Спектрума уже много. Предлагаю сделать игровой компьютер с режимом Метеор без режима Спектрум, без SD, HDMI, USB и т.д. Клавиатуру и корпус можно оставить. Хотя это уже не Спектрум. Лучше корпус поменьше и клавиатуру PS/2. Загружать игры с картриджа без хождения по менюшкам как это сейчас на системах с SD.
оффтоп, пошли в твою уютную тему. :v2_dizzy_tea:

zx-kit
12.01.2018, 21:07
andykarpov, я предлагаю сообща продолжить проект игрового компьютера "CHELYABINSK" в моей теме (http://zx-pk.ru/threads/28612-modulnyj-kompyuter-quot-chelyabinsk-quot.html). Работу над "KARABAS NEXT" предлагаю, конечно, продолжать. А тот проект другой - совместный.

omercury
12.01.2018, 23:43
Мне вот интересно, использование ARM - это дань моде или реально тут нельзя обойтись простеньким МК?
Разумеется можно и простеньким. Только к простенькому надо много чего добавить, чтоб получить тот же функционал.
Для наглядности рассмотрим Вашу блок-схему (http://zx-pk.ru/threads/27724-karabas-128.html?p=945034&viewfull=1#post945034).
Установив АРМ с USB-OTG, вместо 4-х микросхем ставим 1 (RTC, USB-HOST/SPI, USB-Slave/SPI). Поставив Cortex-4 дополнительно совершенно бесплатно получаем сеть, DMA, ADC+DAC, полноценный FPU, кучу коммуникационных интерфейсов, ... соль и перец добавить по вкусу.
И всё это дешевле Меги 2560.
andykarpov, это простая практичность.

А по мне Атмега - ... и на нем все программировать умеют. А при желании легко научиться.
И это тоже.
На порядки меньше случайных людей.

Опять же, программируя Мегу, ты программируешь только Мегу, а программируя АРМ ты окучиваешь ВСЕ АРМ...
Ну и не всё ли равно, под что писать на ЯВУ ?

- - - Добавлено - - -


andykarpov,
omercury,
могу вам предложить сразу 2 концепта, в обоих вариантах, могу поддержать "железяками".

Ну "мы и сами с ушами" (с) ;)

Само-собой готов выслушать.

Totem
13.01.2018, 00:14
Ну "мы и сами с ушами" (с)

Само-собой готов выслушать.
Не сомневаюсь, уши есть у всех, но где они находятся у каждой особи, нужно долго рассчитывать.

http://danefield.com/alpha/forums/uploads/post-125-1257851053.jpg

пойдем, товарищъ в личку, я тебе "патронов отсыплю"

omercury
13.01.2018, 00:20
Скрытый текст
Забавный персонаж.
Свежий рендер?

Totem
13.01.2018, 00:22
немного злостного офф-топа

В квартусе из схемного ввода можно конвертировать в прилтчный VHDL\Verilog
А вот в ISE такой фокус можно сделать, ибо от этих *.sch чет мне со всем тоскливо :(
spartan'цы

https://orig00.deviantart.net/d606/f/2007/090/5/4/marvin___the_depressed_robot_by_ice_x_queen.png

omercury
13.01.2018, 00:32
Упппппппс. )))
http://www.netlore.ru/upload/files/1307/p17r66qog1f676hn13ri1cvjd664.jpg

Totem
13.01.2018, 00:37
он такой же свежий, как и вселенная...

Thе Hitchhiker's Guide to the Galaxy

просто утомила дискриминация в смайлах на этом досточтимом форуме и "монстро регенерация"

1coba1
24.02.2018, 08:21
а там есть где 5 вольт снять, мне для усилителя звука?

andykarpov
24.02.2018, 11:44
а там есть где 5 вольт снять, мне для усилителя звука?
Вполне, можно с разъема для zxkit1.

1coba1
24.02.2018, 14:37
Вполне, можно с разъема для zxkit1.

а можно фото, с указанием этого места?

andykarpov
24.02.2018, 18:36
а можно фото, с указанием этого места?

http://i.piccy_.info/i9/9d0a67d9b33c8b9dfc4eafccd8c011ce/1519486534/145687/1215824/Snymok_ekrana_ot_2018_02_24_17_31_03_zxkit_pwr_800 .jpg (http://piccy_.info/view3/12095294/26eb70cd125e233b1f9a784556d0dd06/orig/)http://i.piccy_.info/a3/2018-02-24-15-35/i9-12095294/655x651-r/i.gif (http://i.piccy_.info/a3c/2018-02-24-15-35/i9-12095294/655x651-r)

EugenyN
25.02.2018, 19:47
может быть интересна будет ссылка:

https://sinclairzxworld.com/viewtopic.php?f=21&t=2659&sid=3b9391a06cab2497b978e77c9392186b
https://onedrive.live.com/?id=E0ADBB58ADB8D869%21202796&cid=E0ADBB58ADB8D869
http://speccy.pl/wiki/index.php?title=ZX_Max_48

новая разработка от автора harlequin. на EPM7128S. только под корпус zx81.

Dotoro
26.02.2018, 13:37
может быть интересна будет ссылка:

https://sinclairzxworld.com/viewtopic.php?f=21&t=2659&sid=3b9391a06cab2497b978e77c9392186b
https://onedrive.live.com/?id=E0ADBB58ADB8D869%21202796&cid=E0ADBB58ADB8D869
http://speccy.pl/wiki/index.php?title=ZX_Max_48

новая разработка от автора harlequin. на EPM7128S. только под корпус zx81.

Интересная штука, особенно ZX Max 128
http://speccy.pl/wiki/index.php?title=ZX_Max_128
композит выход, 128к, AY, еще бы sd карточку - вобще супер девайс был бы.

vlad
26.02.2018, 14:36
композит выход, 128к, AY, еще бы sd карточку - вобще супер девайс был бы.
Там есть и EAR/MIC.

andykarpov
13.03.2018, 13:26
Тем временем, тихо и незаметно, вышла ревизия платы B и B1.

http://i.piccy_.info/i9/30538e84601b9969d927560f2b0b8b48/1520936992/48609/1215824/progress15_500.jpg (http://piccy_.info/view3/12147589/2ea2b4941cbd272501ae6d14a0eeada1/)http://i.piccy_.info/a3/2018-03-13-10-29/i9-12147589/500x305-r/i.gif (http://i.piccy_.info/a3c/2018-03-13-10-29/i9-12147589/500x305-r)

История изменений:

Ревизия B
- Исправлен баг в неправильно разведенном коннекторе RGB (спасибо Nika за помощь в поиске бага)
- Переразведены силовые линии, должно помочь с шумами от LM8596
- Добавлена индуктивность + конденсаторы на AD724 для понижения наводок от цифровой части
- Добавлен подстроечный конденсатор для точной регулировки AD724
- Модуль чтения с ленты на TL712 заменен на модуль на базе CD4069 (спасибо tank-uk за идею и реализацию)
- Добавлен 3.3В регулятор для поддержки EPM3128
- Добавлен светодиод для индикации наличия питания


Ревизия B1
- сигнал CLK_BUS на краевом слоте ZX BUS разведен с помощью одного из свободных инверторов IC4 (спасибо Ricardo Saraiva за обнаружение бага)
- Убран регулятор 3.3В, так как EPM3128 имеет меньшее количество i/o линий, что катастрофически для Карабаса
- Добавлен диод между A11 и N_RESET для поддержки переключения режимов по RESET+1, RESET+2 (спасибо solegstar за идею и реализацию!)

Герберы, документация - доступны в github проекта (https://github.com/andykarpov/karabas-128/).

Dotoro
13.03.2018, 13:48
Круто, в продаже платки будут?

vlad
13.03.2018, 13:56
Тем временем, тихо и незаметно, вышла ревизия платы B и B1.
С нетерпением ждем продолжение серии, ревизию платы С, уже с 512К ОЗУ под Pentagon 512.

Mick
13.03.2018, 13:59
так как EPM3128 имеет меньшее количество i/o линий,

А что же сразу не перешел на EPM3256ATC144 - ячеек бы стало в два раза больше.

Anubis_OD
13.03.2018, 14:22
Индуктивность L2 отсутствует в BOM ревизии B1. или это 2 конструктива предусмотрено L2 или L3 ?