PDA

Просмотр полной версии : Karabas-Nano



Страницы : 1 [2]

tank-uk
27.09.2020, 13:25
Так их же нет там в наличии.
А аналог не устраивает ? по даташиту 1:1 , только крепежные немного не так , но можно к корпусу пролить припоем
https://www.terraelectronica.ru/product/3250848

Freiwind
29.09.2020, 13:08
Не особо хочется колхозить.
В Буряке же тоже такой сокет, судя по виду?

- - - Добавлено - - -


Freiwind, я тоже хотел заказать. Ты в Москве, если закажешь на Алишке, можем вскладчину купить, отсыплешь пяток при встрече. С другими редкими запчастями тоже можно так. У меня например памяти нету.
Надо подумать.
У меня тоже памяти нет.
Тут еще дилемма с памятью. На какое напряжение, лучше взять. Чтобы все красиво было надо две по пять, но дороже, чем две по три. :)
Это я Буряка еще в виду имею.

tank-uk
29.09.2020, 13:20
Это я Буряка еще в виду имею.
в буряке пофигу с память 3.3 или 5 , в карабасе можно отогнуть две ноги и бросить перемычку с них на 3.3в

- - - Добавлено - - -


В Буряке же тоже такой сокет, судя по виду?
да 1:1

- - - Добавлено - - -


Не особо хочется колхозить.
особо колхозить и не нужно, просто в местах крепления холдера немного больше припоя , чтоб залилось до корпуса холдера , а по пинам и детекту они совпадают

andykarpov
29.09.2020, 14:18
Память лучше 5-вольтовую, ELL которая - она и в карабас-нано, и в буряк, и в карабас-про подходит (от 3.3В прекрасно работает) :)

По поводу холдера для SD-карточки - полностью поддерживаю tank-uk, не вижу проблем, чтобы запаять аналог.
Но лучше, конечно, ставить то, что задумано дизайном.

Freiwind
29.09.2020, 14:32
Ну, я тоже больше к ELL склоняюсь. В том числе из-за того, чтобы не резать ничего.

Eltaron
29.09.2020, 14:52
Кстати, я сдуру запаял в Rev.C CY62158EV30LL (1 мегабайт, но уж что нашлось локально с такой распиновкой). За пару часов работы пока ещё не сгорела, да и не греется особо. Дольше не тестил, т.к. ПЗУ пока нету.
Даже не знаю, кромсать плату или понаблюдать ещё :v2_unsur:

tank-uk
29.09.2020, 15:19
CY62158EV30LL (1 мегабайт, но уж что нашлось локально с такой распиновкой).
а DivMMC работает ? он со второго метра стартует, но можно поправить прошивку и будет с точки 512к стартовать

Eltaron
29.09.2020, 15:23
а DivMMC работает ? он со второго метра стартует, но можно поправить прошивку и будет с точки 512к стартовать
У меня нет подходящего чипа ПЗУ, поэтому я пока отложил сборку. Пока стартует только матрас :)

tank-uk
29.09.2020, 15:26
отложил сборку.
ОК, тогда в конфе нужно будет переключение на DivMMC перевести с А20 на А19

andykarpov
29.09.2020, 17:00
Даже не знаю, кромсать плату или понаблюдать ещё
Если работает - ну и отлично же! :) зачем кромсать ? :)

Terabiterr
29.09.2020, 17:28
Всем привет!
У кого-то есть фото этого аппарата в корпусе и в сборе?
Что за корпус делать под него с этой клавой? Я так понимаю это с экраном сразу должно быть?

Eltaron
29.09.2020, 17:53
Если работает - ну и отлично же! :) зачем кромсать ? :)
Ну электричества там много потребляет, счет неподъемный придёт.
С другой стороны зимой оно, конечно, в нашем климате лучше, чтоб чип погорячее был :)

andykarpov
29.09.2020, 17:55
У кого-то есть фото этого аппарата в корпусе и в сборе?
Что за корпус делать под него с этой клавой? Я так понимаю это с экраном сразу должно быть?

Фото девайса:

http://i.piccy_.info/i9/082af325c18af49dbc04802653b74adc/1599411796/102173/1385172/1910IMG_0423_800.jpg (http://piccy_.info/view3/13968356/38ac6e48d9e72b38d5693a431713e93c/1200/)http://i.piccy_.info/a3/2020-09-06-17-03/i9-13968356/566x755-r/i.gif (http://i.piccy_.info/a3c/2020-09-06-17-03/i9-13968356/566x755-r)

Корпуса нет :)
Можно придумать для печати на 3д-принтере, но как по мне - и так норм :) Это ж игрушка :)

Freiwind
24.10.2020, 22:18
Что-то у меня закончились идеи, куда копать дальше...

Спаял ревизию G.
Та же самая фигня с рябью. Стал копать выход на RGB и нашел, в чем проблема. В самодельном переходнике на SCART не соединил выводы земли каждого из цветов с общей землей.
В общем, теперь матрас без ПЗУ красивый.
Впрочем, с ПЗУ тоже матрас. Т.е. опять где-то проблема. Из 512-х есть только 39SF512 и 29EE512. Обе не отдупляются. Панель прозвонил. Все сигналы доходят.
Пока нет этого мудреного слота для SD карты. Жду аналог из Платана, который советовали. Также пока не установлен Wifi-модуль.
Без модуля и карты должен же запускаться?

andykarpov
24.10.2020, 22:38
Впрочем, с ПЗУ тоже матрас. Т.е. опять где-то проблема. Из 512-х есть только 39SF512 и 29EE512. Обе не отдупляются. Панель прозвонил. Все сигналы доходят.

Эти не подходят по ногам:
https://jpegshare.net/images/3d/14/3d14aade6795b00f585e8d6eadb0bd89.png (https://jpegshare.net/)


Без модуля и карты должен же запускаться?

Да, без модуля и карты запуститься должен.

Freiwind
24.10.2020, 22:49
Вот оно что. Тогда понятно. Даже не задумывался, что они могут быть с разной распиновкой.
Значит и с ранее собранной ревизией D скорее всего все хорошо.

P.S. Откопал W27C512P. С ней все поехало. При подключении вываливается в 48 бейсик. После сброса похоже обращается к отсутствующей SD-карте.
В общем, жду слот для карты.
Получается, все мучения были из-за:
1. Некорректного подключения через SCART.
2. Неподходящей ПЗУ.

Eltaron
29.11.2020, 21:15
С небольшим отставанием на 4 ревизии, но дособрал, наконец.

https://b.radikal.ru/b42/2011/37/6003c3c624d5t.jpg (https://b.radikal.ru/b42/2011/37/6003c3c624d5.png)

FEC с загнутыми ногами и трехвольтовая память на мегабайт. Но завелось сходу
esxdos запускается и TAP-ки из него грузятся. TRD-шки проверять страшно, тем более, что ресет вызывает бутлуп. Наверное, это дивммц так ругается на то, что не может найти верхний мегабайт оперативы.

andykarpov
30.11.2020, 17:56
TRD-шки проверять страшно, тем более, что ресет вызывает бутлуп. Наверное, это дивммц так ругается на то, что не может найти верхний мегабайт оперативы.

На метровой ОЗУ должно все работать без вопросов без всяких бутлупов.

Штатная прошивка идет с 128 кб основной памяти и 512 кб для DivMMC, без использования второго метра вообще.
Второй метр используется в экспериментальных прошивках (можно самому переконфигурировать и пересобрать прошивку, есть набор флажков в основном модуле для задания стандарта памяти - для Pentagon 512, Profi 1024 будет использоваться второй метр).

Стоит попробовать сделать штатную переинициализацию DivMMC с помощью Пробел+Reset (отпускаем пробел когда появится заставка DivMMC).

Eltaron
30.11.2020, 18:08
TRD-шки проверять страшно
Ну кстати большинство ТРДшек работает без проблем вообще. А остальные навернео просто лазят в порты вг93.


а DivMMC работает ? он со второго метра стартует, но можно поправить прошивку и будет с точки 512к стартовать
Речь же про эту строчку?
https://github.com/andykarpov/karabas-nano/blob/master/firmware/cpld/src/universal/rtl/memory/memory.vhd#L107

Но ram_ext_std по-умолчанию 0, и этот кусок вроде бы не используется. И тесты памяти все говорят, что есть только 128к, что как раз при ram_ext_std=0 должно быть.

- - - Добавлено - - -


На метровой ОЗУ должно все работать без вопросов без всяких бутлупов.
Да, это что-то странное было. Сегодня не повторилось, всё пашет, как нужно. Крутой комп получился! Надо корпус напечатать :)

tigr101274
04.12.2020, 14:29
Дособрал плату ревизии С и вот такая картина
http://f26.ifotki_.info/thumb/9b3676ab61f7ff4455eefef2d9e00616c1697e389741190.jp g (http://i-fotki.info/26/9b3676ab61f7ff4455eefef2d9e00616c1697e389741190.jp g.html)
На плате постоянно горят светодиоды: D11, D10, иногда после сброса загорается и DD12
http://f26.ifotki_.info/thumb/3ef6a5e3432c754545a0e479a8461704c1697e389741190.jp g (http://i-fotki.info/26/3ef6a5e3432c754545a0e479a8461704c1697e389741190.jp g.html)

andykarpov
04.12.2020, 14:38
Дособрал плату ревизии С и вот такая картина
http://f26.ifotki_.info/thumb/9b3676ab61f7ff4455eefef2d9e00616c1697e389741190.jp g (http://i-fotki.info/26/9b3676ab61f7ff4455eefef2d9e00616c1697e389741190.jp g.html)
На плате постоянно горят светодиоды: D11, D10, иногда после сброса загорается и DD12
http://f26.ifotki_.info/thumb/3ef6a5e3432c754545a0e479a8461704c1697e389741190.jp g (http://i-fotki.info/26/3ef6a5e3432c754545a0e479a8461704c1697e389741190.jp g.html)

1) проверить плату на залипоны и непропаи в районе памяти, Альтеры
2) проверить, какую прошивку заливали - под ревизию C нужно
3) без ПЗУ такая же картинка?

Kalantaj
04.12.2020, 14:55
Один в один как у меня на рев F. Причем работала нормально, потом спалил случайно пода 9 или 12 вольт. Потом оживил заменив память. Потом полежала плата месяца полтора-два. И вот та же картинка. Горят все 3 светодиода. Иногда прорывалась запускаться картинка нормальная, но недолго и ненадолго. Память пробежался ещё раз паяловом, но пока результатов не дало. Почему сразу загрешил на память? Да просто на экране мусор был насыпан принекоторых включениях - видно пробовало тестировать память (байтом 2 забивало), но в какой-то момент всё зависало и подсыпав мусора на экран всё зависало. Надо все пайки проверить...

Eltaron
04.12.2020, 15:01
У меня тоже именно так выглядел экран, когда запаял альтеру с процессором, но ещё не поставил память.

Freiwind
04.12.2020, 15:16
Так это. Те же грабли, что и у меня были.
29F010 не будет работать тут. Цоколевка другая.
Надо бы это куда-нибудь красными буквами занести.

Eltaron
04.12.2020, 16:06
Надо бы это куда-нибудь красными буквами занести.
Кстати, да. Я тоже чуть не попался, по крайней мере пока собирал, рассчитывал туда 29ee010 поставить. Но потом полез какие-то сигналы глядеть на футпринте ROM, и не нашел ожидаемых.

Но мусор в экранной области с этим не должен быть связан.

tank-uk
04.12.2020, 17:25
не знаю как кому , а мне пайки на ОЗУ не нравятся, особенно 19,20 ноги

и еще , мега пошита правильно , фьюзы выставлены , сопля питания меги установлена ?

- - - Добавлено - - -


Потом полежала плата месяца полтора-два
в средине октября ты его спалил и через пару дней оживил , а в начале ноября ты менял генератор и он еще работал

tigr101274
05.12.2020, 02:51
1) проверить плату на залипоны и непропаи в районе памяти, Альтеры
2) проверить, какую прошивку заливали - под ревизию C нужно
3) без ПЗУ такая же картинка?
1-все проверено и не один раз
2- только на С ревизию (хотя пробовал и другие)
3- да (склоняюсь все же к пзу, думаю AM29f10 работать тут не будет)

tank-uk
05.12.2020, 11:21
3- да (склоняюсь все же к пзу, думаю AM29f10 работать тут не будет)
100% не будет , чтоб работала дороги кромсать нужно

это еще проверь https://zx-pk.ru/threads/30806-karabas-nano.html?p=1092392&viewfull=1#post1092392

tigr101274
06.12.2020, 09:28
сопля питания меги установлена Можно поподробнее?

tank-uk
06.12.2020, 11:10
Можно поподробнее?
JP1 , слева от меги
https://uploads.tapatalk-cdn.com/20201206/c48ad13b1f1568d23ced2ea56fa17e2a.jpg

tigr101274
07.12.2020, 03:27
Я в мегу еще прошивку не заливал, поэтому перемычки нет. Разве она может как то влиять?

tank-uk
07.12.2020, 07:58
Разве она может как то влиять?
она сидит прямо на ШД и может происходить все что угодно

Нану лучше запускать вообще без меги, и когда все заработало , тогда уже запаивать и прошивать мегу

tigr101274
07.12.2020, 11:09
Без проблем сдую феном, Хотя можно прошить, все равно ждать ПЗУ, думал если питание на нее не идет то гадить не должна.

Freiwind
07.12.2020, 13:03
Не должна.

tank-uk
07.12.2020, 13:15
Не должна.


гадить не должна.

суслика видишь ? и я не вижу , а он есть

лучше или прошить , или снять , без ПЗУ должен быть матрас, но ни как не квадратики

andykarpov
07.12.2020, 13:50
суслика видишь ? и я не вижу , а он есть

лучше или прошить , или снять , без ПЗУ должен быть матрас, но ни как не квадратики

Да, мега гадить может на ШД, там какие-то паразитные питания пролазят по ШД и ресету...
Так что лучше - или прошить, или сдуть.

Uzix
07.12.2020, 15:51
https://s.micp.ru/thumb/ru87I.png (http://micropic.ru/ru87I)
Так что без питания на VCC кушать ток она будет отовсюду, откуда сможет.

Eltaron
07.12.2020, 16:06
Мой первый программатор "шесть проводков" из-за недосмотра был на самом деле "пять проводков". Забыл питание :) Но узнал я об этом только когда пытался прошить очередной купленный контроллер и не смог. Две атмеги до него шились без проблем, видимо, им хватало даже паразитного питания от сингнальных линий.

Eltaron
09.12.2020, 11:49
Какой-то такой корпус вышел. Вообще-то это должно было быть первой итерацией для подгонки размеров, но я что-то так устал выставлять стол, чтоб углы не загибало при печати, что решил оставить так насовсем. Тем более, что все равно ещё rev. E собирать - вайфай-то хочется :D

https://c.radikal.ru/c05/2012/5d/1780076539cft.jpg (https://c.radikal.ru/c05/2012/5d/1780076539cf.jpg)

https://a.radikal.ru/a09/2012/e2/0081ca1a94b9t.jpg (https://a.radikal.ru/a09/2012/e2/0081ca1a94b9.jpg)

https://d.radikal.ru/d38/2012/ab/f9c974b7b432t.jpg (https://d.radikal.ru/d38/2012/ab/f9c974b7b432.jpg)

https://a.radikal.ru/a02/2012/77/72faf2e0ab36t.jpg (https://a.radikal.ru/a02/2012/77/72faf2e0ab36.jpg)

Подогнано зажигалкой, обкусано кусачками, склеено детскими термостержнями с блестками (нормальные кончились).

Клава по методу tank-uk - заламинированная бумага. Плюсом сверху ещё кусок папки для бумаг, который заодно выступает как часть корпуса, закрывающая щели вокруг. И такой клавой на удивление действительно можно пользоваться. Ну, на том уровне, на каком это вообще имеет смысл на такой малютке: набрать десяток строк на бейсике, запускать .dot-команды, играть в диззи. В динамичные игры играть сложно - разве что на WASD + Enter, но все равно постоянно жму не то - может стоило подложить на эти кнопки какие-то пылинки, чтоб были нащупываемые вслепую пимпочки как на F и J на писишных клавах.

Модель и исходник тут - https://www.thingiverse.com/thing:4679825

tigr101274
13.12.2020, 04:14
Други в шапке темы не нашел, вроде были нюансы по прошивке меги AY(что то по питанию) и посоветуйте прошивку (какую лучше залить и фьюзы соответственно)

tank-uk
13.12.2020, 09:05
нюансы по прошивке меги AY
нюансов почти нет , запаять "соплю" J1 , не запаивать ( или убрать Vcc от прогера (1пин разьема ))
шить :
это во флеш меги https://github.com/andykarpov/karabas-nano/blob/master/firmware/avray/AY_Emul_247_2ch_m8_ay_speaker.hex
это в еепром меги https://github.com/andykarpov/karabas-nano/blob/master/firmware/avray/Conf_parallel_20MHz_1_75Mhz.hex
если кварц не 20 а 24 тогда в еепром это https://github.com/andykarpov/karabas-nano/blob/master/firmware/avray/Conf_parallel_24MHz_1_75Mhz.hex
фьюзы так lfuse: CEh hfuse: CFh

malin666
20.12.2020, 02:08
доброго всем, спаял карабаса, понравилась плата, сразу всё вроде пашет(красивый чисты матрас:)) жду пока пзушку, заказал сразу и у Павла и в чипдипе, посмотрю откуда быстрее приедут (дома гора разных а в таком корпусе с такой распиновкой как назло нема),хотел спросить нет ли у кого разъёмов под микро сд этих на изнанку что в версии G платы стоят, а то на али вроде нашёл но только от 10шт думаю малоли кто заказывал и валяются лишними, нуждаюси в 2х шт с пересылом по россии, так как спаял и карабас и буряка заодно :)

Vasily_A
22.12.2020, 23:21
если ждать совсем не хочется:
74295
перемычка выбирает один из двух образов по 64к (те, что в архиве с гита...)

malin666
23.12.2020, 08:09
да не,не до такой степени:)пзу в пути и за неделю то доедут, да и само устройство то забавы ради только собирается потому хочется именно окуратно-красивого:)нашёл кстати от какой то мобилы древней плату(вроде гнусмас Е200),на ней нужный разъём под карту памяти, правда совмещённый с разъёмом сим, на вид похож, попробую его запаять

https://i.ibb.co/V2msXkF/m-YBkq-QJ2-GKQ.jpg

malin666
24.12.2020, 00:14
в общем получил сегодня с чипдипа заказ, прошив в пзу divmmc(в другую попробовал глюк, но с ним почемуто лишь разноцветный квадрат квадратов) корабас выдал экран 48го, запаял разъём от самсунга е200 под карточку, скинул на неё файлы запустилась оболочка, картинка чёткая ,программы запускаются осталось разобраться с цветом так как пока изображение почему то расцвечено оттенками в виде вертикальных широких полос

andykarpov
24.12.2020, 02:19
осталось разобраться с цветом так как пока изображение почему то расцвечено оттенками в виде вертикальных широких полос

Показывайте уже свои полосы, не стесняйтесь :)
А то воображение всякое рисует :)))

malin666
24.12.2020, 03:19
такой вот матрасище :))на белом фоне при этом не видно полос а на чёрном немного просматриваются полосы

https://i.ibb.co/VjN8Lfq/Uldi-Dt8ah-Bw.jpg (https://ibb.co/r7tJQDw)

Vasily_A
25.12.2020, 00:11
опять не по феншую, но работает:
74321
нужный слот только на ебае нашел, по конской цене :(
взял первый попавшийся слот микросд на мелкой платке распаяный (осталось несколько от других проектов),
обрезал и отколол половину стеклотекстолита по толщине, припаял.
записал файлы с архива на 2гб карту, вроде все работает...

andykarpov
25.12.2020, 01:01
такой вот матрасище :))на белом фоне при этом не видно полос а на чёрном немного просматриваются полосы

https://i.ibb.co/VjN8Lfq/Uldi-Dt8ah-Bw.jpg (https://ibb.co/r7tJQDw)

Опа. Походе, что PAL кодеру чо-то совсем плохо...
Проверьте номиналы в обвязке AD724, особенно кондер 10пФ возле кварца на 4.43МГц.
Также резистор, который по схеме параллельно кварцу, его нужно ставить опционально - нужно поиграться, насколько стабильно стартует генератор и работает с ним и без него.
Ну и качество питания надо бы проверить также, на всякий случай.

- - - Добавлено - - -


но работает
мои поздравления :)

Выглядит, конечно, не очень :) но главное, чтоб Вам было ок :)

ЗЫ: Можно еще черным термоклеем сверху все залить :))) Ну или маской.

malin666
25.12.2020, 10:52
- нужно поиграться
тогда тоже так подумал,реакции на питание что от ЛБП что от usb зарядного по картинке небыло, но игратся не получилось из за отсутствия на то времени, сейчас с работы приехал,поигрался с кварцами резисторами конденсаторами, максимум можно сделать изображение с помехами и чб,после заменил сам ад724 на из другой партии, тоже эффекта нет, перекатал тогда плисину (взяв опять из другой партии чтоб наверняка) но опять без изминений. думаю позже спаять кабель попробовать напрямую по rgb подцепить для теста.


10 minute later... запаял rgb кабель , картинка чёткая цветная без полос:) почему пал кодер не работает у меня на этой плате(ревизия Г) странная барабарщина%) хотя обвязка вся стандартна и на том же арлекине и zxMax что рядом лежат на столе работает без нареканий

andykarpov
25.12.2020, 13:40
почему пал кодер не работает у меня на этой плате
Кстати, вопрос :) А кабель - с ним все ок ? Распиновка TRRS разъема соответствует?
Может у Вас кабель, в котором земля и video местами попутаны?

SoftLight
25.12.2020, 13:46
Классные спецэффекты!!! :v2_dizzy_punk: Надо попробовать

malin666
25.12.2020, 17:59
земля и video местами попутаны?

не, гнезда нет потому просто RCA для видео и джек для звука припаен прям на плату, тут не попутаешь :)
кстати ностальгирование прям с полным погружением получается, я свой первый спек паял в 9ть лет(помню зимой на рынке в пскове покупал в виде пакета с корпусом платой и всей рассыпухой (там на входе в рынок стоял прилавок с кассетами,панковско-металической атрибутикой и с подобными конструкторами) и тогда без интернетов было очень мало инфы, телики были секам, а декодер помню был внешний в такой прям железной коробке(его уже позже где то покупал) и тоже тогда с ним мучался чтоб оно хоть как то поадекватнее работало, позже помню забил и впаял в телик разъём под RGB вход и успокоился. правда спек в те года был в основном чёрно-белым

вообщем решил раз уж у меня есть несколько точно рабочих вариантов то поробую с другой платы перекинуть всё заведомо рабочее , и как не банально но после просто перепайки кварца картинка появилась отличная :) ради прикола впаял этот кварц в другие платы клонов, везде ведёт себя так же рисуя полосы не зависимо в отдельном генераторе стоит или на Адешке припаян:)

нравится мне этот корабас , в детстве бы такой, благодарю автора за сей проект!

https://i.ibb.co/M8xWL1q/y-Ms8q-JXl8-Q.jpg
https://i.ibb.co/N6ntckv/Xvflykv-BGU0.jpg

Freiwind
27.12.2020, 18:03
опять не по феншую, но работает:
74321
нужный слот только на ебае нашел, по конской цене :(
В Платане есть (https://www.platan.ru/cgi-bin/qwery.pl/id=2012916915) подходящий слот. 3 штуки за 380 рублей.
Крепежные ламели не совсем совпадают, но запаять можно.
Только очень внимательно паять ближайший к сигнальным выводам контакт определения наличия карты в слоте.

malin666
28.12.2020, 23:33
нормально кстати встают и обычные разъёмы если их вверхногами за рубашку припаять:)проверенно и на буряке и на корабасе работает(единственное под угол положить изоляции на контакт кард-детект если нужно оно)
P/s фото буряка только по тому что на карабасе уже запаян другой разъём,как писал выше от гнусмаса Е200 ,от телефонов кстати разъёмы вообще думаю бесплатно отдадут если кому надо в любом адекватном сервисе

https://i.ibb.co/q5BzPXy/3-WVt-QHd-XLZM.jpg

e2e4_75
17.02.2021, 10:03
Приветствую всех! Потихоньку собираю карабаса ревизии G. Тут пробегала ссылка (https://www.ebay.com/itm/10pcs-SMD-Oscillator-28-MHz-28M-7050-5070-7mm-x-5mm-3-3V-5V/163592638113?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649) на генератор 28МГц на ебей, хочу предостеречь общественность, заказал там генераторы, приехали, на корпусе маркировка 28Mhz, а по факту 14.3(!!!) А я врубиться не мог, от чего это у меня синхра на композите не схватывается, а там частота в два раза меньше положенной.

Еще огромная просьба к автору, поменять в следующей ревизии футпринт для SDшки. Старые Molex 49225-0821 уже нигде не найти, новых Molex 502774-0891 навалом (есть в ЧиД), новые на старый фут принт приколхозить можно, что я так и сделал, но не красиво. Новый футпринт прилагаю. PCB в KiCAD поправленый тоже выкладываю, но не уверен что там все ок. Я в Диптрейсе сижу, а КиКАД для меня новое.
74728

ЗЫ дождусь правильные кварцы - фото выложу.

Freiwind
17.02.2021, 10:40
Мне эти перевёрнутые слоты вообще не нравятся. И не просто достать и контакты наружу, можно зацепить случайно. Обычные с Али самое то.

e2e4_75
17.02.2021, 13:31
Мне эти перевёрнутые слоты вообще не нравятся. И не просто достать и контакты наружу, можно зацепить случайно. Обычные с Али самое то.

А вот тут бы я оспорил, мне важнее вставлять карточку надписями вверх/контактами вниз, чем думы о надежности контактов. ИМХО выбор правильный - контакты вниз, на пружинке с фиксацией, и не тугая. Вот только старая версия пошла в продакшн.

Freiwind
17.02.2021, 13:39
О чем вы? Раньше слот был сверху платы.
Можно подумать вы эту карту постоянно тягаете туда сюда, чтобы заморачиваться на эту тему. Закидал образов, вставил и забыл.
Кроме того пружинки не только у молексовских перевёрнутых слотов есть.

tank-uk
17.02.2021, 16:07
а по факту 14.3(!!!)
они всего навсего на 5в, от 5в будет 28

- - - Добавлено - - -


И не просто достать и контакты наружу, можно зацепить случайно.
Достать непросто, но по надежности они СУПЕР

e2e4_75
18.02.2021, 09:31
они всего навсего на 5в, от 5в будет 28

В описании лота указано 3.3в и 5в, но не сказано что при 3.3 получим только 14МГц. Вечером проверю что на 5в выдаст.

tank-uk
18.02.2021, 09:40
но не сказано что при 3.3 получим только 14МГц.
макс пропускает такты, у Калантая была такая фигня , причем если этот генератор включить от 5в , то нужно резистором на землю подгрузить , чтоб макс запустился от этого генератора

e2e4_75
18.02.2021, 20:12
Проверил генератор, подал 5в, пофиг - 14.3МГц.

dmtr
23.02.2021, 14:03
Собрал платку. Завелась сразу. Нормально грузит демки и игрушки. Но есть момент, часто при нажатии на кнопки комп рестартует. Бывает что в бейсик 48, бывает в 128. Если клавиатуру не трогать - работает стабильно. Демки крутит, музыка играет, игрушки заставки показывают. Перегружается даже в бейсике 48, если нажимать на space. Бывает с первого нажатия, бывает десяток пробелов введет. Реакция не на механическое влияние, плату гнул, стучал и все такое. Т.е. проблема скорее логическая при работе с клавиатурой. Подскажите, куда копнуть?

andykarpov
26.02.2021, 01:26
Собрал платку. Завелась сразу. Нормально грузит демки и игрушки. Но есть момент, часто при нажатии на кнопки комп рестартует. Бывает что в бейсик 48, бывает в 128. Если клавиатуру не трогать - работает стабильно. Демки крутит, музыка играет, игрушки заставки показывают. Перегружается даже в бейсике 48, если нажимать на space. Бывает с первого нажатия, бывает десяток пробелов введет. Реакция не на механическое влияние, плату гнул, стучал и все такое. Т.е. проблема скорее логическая при работе с клавиатурой. Подскажите, куда копнуть?

Такс. Вопросы:
1) диоды, которые между ША и клавиатурой - какие стоят? правильно ли запаяны?
2) какая ревизия платы и какой тип кнопок используется? Подозреваю, что если кнопки металлические - там возможно влияние статики каким-то образом?
3) подтяжки на порт клавиатуры запаяны нормально, нужного номинала?

dmtr
26.02.2021, 08:23
Диоды сначала поставил bas321, но потом, глянув, что они 50нс, поменял на 1n4148w, они 4нс.
Плата ревизии F, wifi не запаян пока.
Кнопки - да, металлические, с высоким толкателем.
Подтяжки 10к, отзвонил на запуске. Но после запайки кнопок не прозванивал. Посмотрю туда.

Можно подробнее про статику? Были прецеденты?

Romych
04.03.2021, 21:27
Собираю Nano, жду из Китая EPM3256, и тут на гитхабе замечаю, что есть прошивка под EPM7512, правда не последняя, и в ридми, andykarpov тоже пишет о возможности такой замены.
А они у меня как раз есть уже в количестве 3-х штук.
https://i.ibb.co/m8jhhKv/epm7512.jpg (https://ibb.co/m8jhhKv)
Не знаю, какую выбрать? На Карабасе-ПРО, я 3 раза перепаивал EP4. Я, конечно, наловчился их паять, но не хочется это делать по три раза)
Как думаете, какая больше похожа на настоящую? Левая, самая замученная или центральная (она 12-го грейда)? А может и правая? Может на каком-то другом заводе краской маркировали? Я раньше таких не встречал.

andykarpov
05.03.2021, 01:50
есть прошивка под EPM7512
Да, была, но экспериментальная.
В нее больше фич помещается, когда-то туда впихивал UART по стандарту zxuno, режим timex hires/hicolor, еще что-то.
Видеорежим профика тоже сначала на karabas-nano тестировал.
Можно открыть проект и пересобрать с нужным набором фич, они generic'ами рулятся у top-level модуля проекта.


А они у меня как раз есть уже в количестве 3-х штук.
Все 3 выглядят подозрительными.
Но если пробовать - я б начал со средней :)

- - - Добавлено - - -


Плата ревизии F, wifi не запаян пока. Кнопки - да, металлические, с высоким толкателем.
странно, вроде в ревизии F пластиковые кнопочки должны быть же :)


Подтяжки 10к, отзвонил на запуске.
каковы результаты проверки?


Можно подробнее про статику? Были прецеденты?
У меня был прецедент только с кнопкой NMI, если просто тронуть один из контактов - срабатывало как нажатие.
Но всех деталей уже не помню.

- - - Добавлено - - -

ЗЫ: я там, в github вылил герберы и доку по ревизии H karabas-nano.

Что нового:
1) Круглый разъем питания заменен на micro-USB, по просьбе Kalantaj
2) Держатель micro-SD карточки заменен на дешевый китайский noname, по просьбе многих трудящихся
3) Добавлен пятак возле кварца 4.43 для его заземления для улучшения качества картинки, решение от tank-uk
4) Добавлены solder-jumper'ы для выбора питания генератора и памяти (3.3В или 5В)
5) Заменен чип ПЗУ на 29C0XX (29EE0XX, 39F0XX), можно ставить любые, подходящие по ногам. Плата разведена под максимальный объем.
6) Для 2 старших адресов ПЗУ выведены джамперы для переключения ромбанков.
7) Добавлен джампер для перевода модуля ESP8266 в режим boot (для его возможной перепрошивки). Программно пока это не реализовано.
8) Заменен узел чтения с магнитофона на решение от tank-uk на LM311

Ревизия еще не тестировалась.
Kalantaj обещал быть первопроходцем :)

e2e4_75
06.03.2021, 12:27
Пришли правильные генераторы. Завелся с первого раза.
7485874859

Есть пара вопросов...
Модуль WiFi ESP-12F. Для установки скорости UART запускаю setSpeed.tap и получаю "No such DRIVE, 3456:1". Но после этого ugoph.tap коннектится и грузит страничку норм. Я что-то делаю не так или это нормально?

Ктонить обновлял ESXDOS до версии 0.8.8 (сейчас 0.8.7)? Что для этого нужно сделать, кроме обновления файлов на SD?

andykarpov
06.03.2021, 13:28
Пришли правильные генераторы. Завелся с первого раза.
Мои поздравления! :)


Я что-то делаю не так или это нормально?
Это к nihirash ;) Но вроде бы - это нормально :)


Ктонить обновлял ESXDOS до версии 0.8.8 (сейчас 0.8.7)? Что для этого нужно сделать, кроме обновления файлов на SD?
Я еще не пробовал.
Теоретически, кроме того, что на SD нужно положить новую версию файлов esxdos, также нужно в 0 банк ПЗУ зашить новую версию ПЗУ ESXDOS.
Только через программатор, естественно.

r3d
06.03.2021, 13:55
также нужно в 0 банк ПЗУ зашить новую версию ПЗУ ESXDOS.
Только через программатор, естественно.
Почему через программатор или там какая-то особенная divmmc?

andykarpov
06.03.2021, 14:25
Почему через программатор или там какая-то особенная divmmc?
Это в оригинальном DivMMC стоит отдельная EEPROM, которую программно можно обновить.
В карабас-нано стоит ПЗУшка 27c512, для ее для перешивания нужно 12В, соотв. - программатор.

e2e4_75
20.03.2021, 13:25
Попробовал обновить крабаса Rev.G до esxdos 0.8.8 и что-то не выходит... Прошивка ESXMMC.BIN из архива esxdos087.zip с их сайта, занимает 8kb. ПЗУ карабаса 64к. В прошивке карабаса "full_divmmc.rom" код divmmc прописан 8 раз по адресам:
0x0000
0x2000
0x4000
0x6000
0x8000
0xa000
0xc000
0xe000

8kb * 8 = 64kb, пока все сходится. Для определения с каким блоком работает карабас, в прошивке ROM 0.8.7 оставляю код divmmc 4 раза с адреса 0x0000 по 0x7fff и заполняю ff с адреса 0x8000 по 0xffff в результате получаю "матрас" с красными вставками. Делаю вывод что карабас работает с верхними блоками, готовлю другую прошивку ff с 0x0000 по 0x7fff, и divmmc 4 раза с 0x8000 по 0xffff. На выходе матрас, но с синими вставками! Делаю вывод что я ничего не понимаю, топаю сюда в форум за вашей помощью. почему так получилось? карабас работает сразу с несколькими блоками одновременно?!

ЗЫ По аналогии с прошивкой 0.8.7, склонировал код ESXMMC.BIN версии 0.8.8 восемь раз, но не сработало, на экране мусор.

andykarpov
20.03.2021, 16:49
Попробовал обновить крабаса Rev.G до esxdos 0.8.8 и что-то не выходит...
Такс. Давайте по порядку.

Образ ПЗУ карабаса-нано - это 4 банка по 16кБ.
В 0 банке записан 2 раза подряд 8кБ ПЗУ esxdos.
В 1-3 банках - как обычно - тырдос, бейсик 128 и бейсик 48.

Откуда там взялось 8 раз esxdos - чо-то не пойму арифметику :)

- - - Добавлено - - -

ЗЫ: Я там закоммитил в гит версию ПЗУ с esxdos 0.8.8 (https://github.com/andykarpov/karabas-nano/raw/master/roms/full_divmmc_esxdos_088.rom) - просьба проверить.
Сейчас не могу протестировать у себя.

e2e4_75
20.03.2021, 19:07
Вот теперь стало все понятно!
Проверил "full_divmmc_esxdos_088.rom", работает отлично!

Спасибо огромное!

ЗЫ Поправочка. Сейчас внимательно посмотрел "full_divmmc_esxdos_088.rom" и вижу в банках:
0 (0x0000-0x1FFF,0x2000-0x3FFF) - divmmc 0.8.8 два раза подряд
1 (0x4000-0x5FFF,0x6000-0x7FFF) - divmmc 0.8.7 два раза подряд
2 (0x8000-0xBFFF) - бейсик 128
3 (0xC000-0xFFFF) - бейсик 48

тр-доса нет, да он и не нужен, в divmmc свой есть.

- - - Добавлено - - -

После прогрузки esxdos автоматом запускается бейсик48, а как запустить бейсик128?

andykarpov
20.03.2021, 21:40
После прогрузки esxdos автоматом запускается бейсик48, а как запустить бейсик128?
Это фича ESXDOS, он по-другому не умеет.
Вроде есть какая-то dot-команда перехода в 128 бейсик, но это не точно. Попробуй .128

e2e4_75
21.03.2021, 01:28
Вроде есть какая-то dot-команда перехода в 128 бейсик, но это не точно. Попробуй .128

Попытка поменять местами в ROM бейсик 48 и 128 ни к чему хорошему не привела, а вот ".128" выдала перезагрузку и загрузочную менюшку 128го! Спасибо за наводку!

PS Не смотря на дефолтную загрузку бейсик48, тесты запущенные из divmmc определяют карабаса как 128k, успешно прогнал тесты ROM и RAM.

PPS Проверил, в ROMе 0x2000-0x7FFF можно забить FF, на полет не влияют. В итоге получилось:
0 (0x0000-0x1FFF) - divmmc 0.8.8 - 8k
1 (0x2000-0x7FFF) - FF - 24k
2 (0x8000-0xBFFF) - бейсик 128 - 16k
3 (0xC000-0xFFFF) - бейсик 48 - 16k

r3d
21.03.2021, 02:39
Полный список esxDOS DOT-команд

https://docs.google.com/spreadsheets/d/17-ifpHcy932_AP7SAv9uBLxg-2ZptcdgTvQ8ILXQLM4/edit?ts=599361c7#gid=0

Kalantaj
04.04.2021, 15:16
Приветствую всех! Потихоньку собираю карабаса ревизии G. Тут пробегала ссылка (https://www.ebay.com/itm/10pcs-SMD-Oscillator-28-MHz-28M-7050-5070-7mm-x-5mm-3-3V-5V/163592638113?ssPageName=STRK%3AMEBIDX%3AIT&_trksid=p2057872.m2749.l2649) на генератор 28МГц на ебей, хочу предостеречь общественность, заказал там генераторы, приехали, на корпусе маркировка 28Mhz, а по факту 14.3(!!!) А я врубиться не мог, от чего это у меня синхра на композите не схватывается, а там частота в два раза меньше положенной.

Еще огромная просьба к автору, поменять в следующей ревизии футпринт для SDшки. Старые Molex 49225-0821 уже нигде не найти, новых Molex 502774-0891 навалом (есть в ЧиД), новые на старый фут принт приколхозить можно, что я так и сделал, но не красиво. Новый футпринт прилагаю. PCB в KiCAD поправленый тоже выкладываю, но не уверен что там все ок. Я в Диптрейсе сижу, а КиКАД для меня новое.
74728

ЗЫ дождусь правильные кварцы - фото выложу.

Я уже дважды "попал" - и на ebay и на ali купил - написано 28мгц, а по факту - 14. 20 штук неподходящих генераторов лежит теперь у меня.
В крайней ревизии (rev H) помимо замены MicroSD слота и питания на MicroUSB, есть выборка питания генератора от 3.3 или 5 вольт. Так вот даже выбрав 5 вольт всё равно имеем 14 мгц. Попросил Андрея собрать прошивку под 14 мГц (правда ревизия С, но он и под H собрал), запаял этот злосчастный генератор, влил прошивку и О ЧУДО - всё стало так как надо! Теперь попросить Андрея чтобы под все ревизии собрал - и можно вопрос с этими (перемаркированными?) генераторами закрытым считать :)

Romych
15.04.2021, 15:03
Вот и я, наконец, получил все детали и сегодня ночью запустил своего Каробасенка Model E :)
https://i.ibb.co/xgjqgyP/karabas-nan0.jpg (https://ibb.co/1XqGX3h)

Из граблей, я как и многие думал что AT27C512 имеет тот же пинаут как и W27C512, фиг там. Как-то вообще для ПЗУ в SOJ-корпусах с этим все плохо. И даже W27C020, так просто не воткнуть.
Вторые грабли, ROM с прошивкой gluk, не работает, вернеее, как и у многих, выдает на экран веселые живые цветные квадратики :) А я, из за этих двух граблей, успел перепаять EPM7512A на EPM3256, ну это ладно, теперь знаю, что "подозрительная" 7512 о которой я раньше писал, у меня рабочая. И как писал andykarpov, она все равно сейчас для Karabas-nano, не нужна.

ESX 0.8.8 работает. Но при переходе в Basic 128, после набора любой строчки кода и нажатии Enter, получаю сброс с возвратом в Basic 48. Странновато.
Генераторы на 28МГц, брал на Али, здесь (https://aliexpress.ru/item/4001246196116.html?spm=a2g0s.9042311.0.0.264d33ed0 8RKlM&_ga=2.58373674.1455481616.1618490225-amp-KFwbGAH5tBPB4jSazF9lFA&sku_id=10000015451178686).

andykarpov
16.04.2021, 01:24
ROM с прошивкой gluk, не работает
Для ром с прошивкой gluk нужна соотв. прошивка в CPLD, с прошивкой от DivMMC не будет работать.


ESX 0.8.8 работает. Но при переходе в Basic 128
Переход с помощью .128 ?
Тут сложно сказать, на чьей стороне глюк, не пользовался никогда этим функционалом.


Генераторы на 28МГц
Надо ссылку @Kalantaj дать :)
А то с этими генераторами на 28 непруха какая-то.

Djoni
16.04.2021, 10:38
ESX 0.8.8 работает. Но при переходе в Basic 128, после набора любой строчки кода и нажатии Enter, получаю сброс с возвратом в Basic 48. Странновато.
Генераторы на 28МГц, брал на Али, здесь (https://aliexpress.ru/item/4001246196116.html?spm=a2g0s.9042311.0.0.264d33ed0 8RKlM&_ga=2.58373674.1455481616.1618490225-amp-KFwbGAH5tBPB4jSazF9lFA&sku_id=10000015451178686).


На специальная версия Basic 128 для работы DOT-команды .128

https://zx-pk.ru/threads/31756-basic128-i-divmmc-esxdos.html?p=1061628&viewfull=1#post1061628

Romych
17.04.2021, 21:42
Переход с помощью .128 ?
Тут сложно сказать, на чьей стороне глюк, не пользовался никогда этим функционалом.

Djoni правильную ссылку дал, можно сказать, это не глюк а фича :)

По эксплуатировал сегодня денек, через GBS нормально работает, только помехи, какие-то при запитке от разъема J9, надо будет попробовать либо прямо с коннектора питание взять, либо через колечко ферритовое провода питания пропустить.
Яркость на GBS пришлось на максимум выкрутить и подстроечниками и через его меню. Жаль нет возможности подключить RGBI->VGA по схеме zx-kit, нету нигде на плате частоты 14МГц. Было бы здорово, вывести ее на системный разъем, на пин CLKX, например.

andykarpov
17.04.2021, 22:18
Жаль нет возможности подключить RGBI->VGA по схеме zx-kit, нету нигде на плате частоты 14МГц. Было бы здорово, вывести ее на системный разъем, на пин CLKX, например.

Можно пересобрать прошивку, вывести нужные сигналы (RGBI+S+14MHz) на разъем возле клавиатуры.
Kalantaj уже пробовал, так работает:)
А на пин CLKX низя, этот I/O только как вход умеет.

PS: в аттаче - паршивка для ревизий C,D,E,F под divmmc с выходом TTL RGB на KB5-KB7, bright на SPECIAL, 14МГц на TURBO, синхросмесь на MAGIC.

Kalantaj
18.04.2021, 16:40
Подтверждаю - всё норм работает. Скандаблер спаял сам. По сути мой скандаблер это тот же ZX-KIT только без PAL-части.
Помимо этого у меня Karabas Nano rev.C ,в которой установлен "левый" генератор, который дает не 28 а 14 мгц (может чуууть-чуть больше - замерял не очень точно осцилом, с которым только учусь работать).
Сначала думал выкинуть эти генераторы (а жаль - аж 20 шт - десяток с али и десяток с ebay) т.к. они - обманка. Но попросил Карпова собрать прошивку под 14 мгц и всё сразу заработало так как надо!
Так что если кто-то купил для Nano генераторы на которых написано 28.000 MHz, а при запуске картинка на экране прыгает и надпись SinclairResearch очень увеличена, но всё же читается за небольшими прыганьями по экрану - могу "поздравить" - у вас тоже обманка.
Но как уже сказал выход есть - попросить andycarpov'а собрать прошивку под 14 мгц для вашей ревизии платы - тогда заработает всё как надо.

Romych
18.04.2021, 22:31
Ну да, у меня тоже, свой вариант, сделан по мотивам платки от Cornelius-а.


Устраивал своим младшим братьям (нам всем за 40 уже :)) сегодня демо по тем спектрумам (Каробас ПРО, Нано, Compact Turbo 256), что у меня работают. Нано, конечно прикольный, но победил все же Karobas-PRO, он все же куда круче, по многим показателям. Все таки, для Нано нужна нормальная клавиатура, и джойстик, вот теперь думаю, прикрутить к нему PS/2 клаву? Или все же нормальную ZX но покрупнее родной собрать? Думаю, для начала, напечатаю на 3-D принтере "накладку" в виде нормальных клавиш, поверх кнопок Нано, Как-то идеологически, ближе ему спековская клавиатура, как мне кажется :)

Kalantaj
19.04.2021, 05:10
Если не жалко, моделькой для принтера поделишься потом? Сам создавать не умею а хоцца чтоб нана в корпусе была.

Отправлено с моего SM-A105F через Tapatalk

Dotoro
27.04.2021, 18:28
Ну да, у меня тоже, свой вариант, сделан по мотивам платки от Cornelius-а.
https://i.ibb.co/ZgbqQCG/rgbi-vga.jpg (https://ibb.co/bvGc4nQ)

Устраивал своим младшим братьям (нам всем за 40 уже :)) сегодня демо по тем спектрумам (Каробас ПРО, Нано, Compact Turbo 256), что у меня работают. Нано, конечно прикольный, но победил все же Karobas-PRO, он все же куда круче, по многим показателям. Все таки, для Нано нужна нормальная клавиатура, и джойстик, вот теперь думаю, прикрутить к нему PS/2 клаву? Или все же нормальную ZX но покрупнее родной собрать? Думаю, для начала, напечатаю на 3-D принтере "накладку" в виде нормальных клавиш, поверх кнопок Нано, Как-то идеологически, ближе ему спековская клавиатура, как мне кажется :)

Симпатичная платка, а гербер есть на такую, хотел бы повторить.

Romych
28.04.2021, 09:33
Есть, выложу на гитхаб, в отпуске сейчас.

tank-uk
28.04.2021, 11:19
хотел бы повторить
ее можно уменьшить ~ в 2 раза

Dotoro
28.04.2021, 14:13
ее можно уменьшить ~ в 2 раза

Да я находил похожий проект маленького адаптера только на Xilinx
http://www.8bit.8u.cz/Faudraj31/index.htm

Вобще хотел бы что-то очень простое как на платке выше и функциональное чтобы все спеки, поиск-1, 1502, cga и ega =)
но я понимаю что это все сказки,
Zxkit-a неплохой адаптер но гербера не нашел.

e2e4_75
28.04.2021, 14:26
Да я находил похожий проект маленького адаптера только на Xilinx
http://www.8bit.8u.cz/Faudraj31/index.htm


Я на таком для оригинального S+128k сделал. Там нужен Clk 17Mhz. И желательно заэкранировать хорошенько все.
753207532175322

tank-uk
28.04.2021, 14:50
Да я находил похожий проект маленького адаптера только на Xilinx
это только для оригинала

- - - Добавлено - - -


Zxkit-a неплохой адаптер но гербера не нашел
выше "https://zx-pk.ru/threads/30806-karabas-nano.html?p=1113849&viewfull=1#post1113849" платки и есть Zxkit , только переразведенный
если очень хочется могу перетрассировать на меньший размер , но этой плате всеравно нужен пиксельклок из компа

Dotoro
28.04.2021, 15:31
Я на таком для оригинального S+128k сделал. Там нужен Clk 17Mhz. И желательно заэкранировать хорошенько все.
753207532175322

А гербер есть под него?



это только для оригинала
там есть под дидактик ULA1, zx128 и еще что-то, вобщем штука интересная было бы больше поддерживаемых устройств.



если очень хочется могу перетрассировать на меньший размер
размер меня устраивает, мне бы просто гербер)

e2e4_75
28.04.2021, 15:52
А гербер есть под него?

Лежит на сайте разводка платы в игле. http://www.8bit.8u.cz/Faudraj31/deska.zip
Из нее делаем гербер.

tank-uk
28.04.2021, 16:06
размер меня устраивает, мне бы просто гербер)
https://github.com/andykarpov/karabas-scandoubler

а такой ? в виде шильда для РС корпуса ?

- - - Добавлено - - -


вобщем штука интересная
могу платку прислать , собирать его я 100% не буду

https://uploads.tapatalk-cdn.com/20210428/fd3e16027c56ab83f0f6227c325bd61b.jpg

Dotoro
28.04.2021, 16:19
а такой ? в виде шильда для РС корпуса ?
Именно то что искал, спасибо, присылать не надо, я уже вчера заказал на jlc побаловаться

Все замолкаю, ибо Andy погонит меня из темы за офтоп)

tigr101274
05.05.2021, 01:58
Всем привет! Посоветуйте какие кнопки купить (у меня ревизия платы С) взял да не те (больше по размеру оказались)
Такие я понял должны подойти: https://aliexpress.ru/item/4000271514666.html?spm=a2g0o.cart.0.0.493b3c00iaJ5 Ef&mp=1&_ga=2.225228378.1392216055.1620110115-1491521426.1616039187

tank-uk
05.05.2021, 07:00
tigr101274,
https://aliexpress.ru/item/32923140184.html?spm=a2g0s.9042311.0.0.264d33edXyE 9dz&_ga=2.185274410.2019575355.1620187051-1502693496.1576906014&sku_id=66082274241

эти помягче будут

andykarpov
05.05.2021, 11:38
Такие я понял должны подойти: https://aliexpress.ru/item/400027151...426.1616039187

Да, в ревизии C именно под такие и была плата разведена.


эти помягче будут

Да, подтверждаю, эти помячге будут :) И почти норм становятся на посадочные места вместо железных.

andrews
05.05.2021, 16:15
Что-то упустил, а колпачки на них в корпус предусматриваются? Или надписи на корпусе, а они слегка утоплены?

SoftLight
23.05.2021, 16:03
Коллеги, подскажите в какую сторону копать. Собрал я себе Karabas Nano rev.G. EPM3256 определилась, прошил. ATmega8A по маркировке, запаял сразу. По J11 определилась по сигнатуре как ATmega88. Возможно, я был не прав, но я ей сделал 'Force' и все равно прошил. Забегая вперед скажу, что наличие ATmega в моем случае ни на что не повлияло. ПЗУ W27C512 прошил.

upd: все вопросы ниже, в итоге, решены успешно.

Запускаю сначала без ПЗУ, картинка по RGBS:
http://i.imgur.com/NWjQTpwm.jpg (https://imgur.com/NWjQTpw)
Вроде матрас как матрас. Только яркость какая-то совсем низкая.
Вставляю ПЗУ и комп не стартует: бордюр черный. экран в цветных квадратиках. Горят светодиоды mmc, pwr.
Прошиваю в ПЗУ 4 раза Spectrum Diag, изменения не сильные, комп с ПЗУ не стартует.
RESET нажимаю, в этот момент бордюр меняет цвет.

Проверяю контакты под кроваткой, все железобетонно:
http://i.imgur.com/7sB9gE7m.jpg (https://i.imgur.com/7sB9gE7.jpg)

Вот вся платка с двух сторон:
http://i.imgur.com/EYHKBZmm.jpg (https://i.imgur.com/EYHKBZm.jpg) http://i.imgur.com/L6vQxyym.jpg (https://i.imgur.com/L6vQxyy.jpg)

Пока не понимаю куда копать. Все ноги с лупой проверил. Сдул ATmega и это ровным счетом ни на что не повлияло.

Kalantaj
23.05.2021, 16:08
Ты когда паял "кроватку" выкусил сердцевину. Приклей её назад на двусторонний тоненький скотч прям к плате. Из за отсутствия этой сердцевинки очень вероятен плохой контакт с самой ПЗУ . Немало людей с таким столкнулись.

SoftLight
23.05.2021, 16:28
Ты когда паял "кроватку" выкусил сердцевину. Приклей её назад на двусторонний тоненький скотч прям к плате. Из за отсутствия этой сердцевинки очень вероятен плохой контакт с самой ПЗУ . Немало людей с таким столкнулись.
Это я для фотки снял серединку, а так я все тесты с ней проводил. Явно что-то не то с ROM. Пойду ноги звонить.
Upd.: все ноги на флешке прозвонил на процессор, ROM_A14 и ROM_A15 на EPM, все четко. Сама по себе w27c512 шьётся и верифицируется норм.
Upd.: везде потыкался еще пару раз перепрошил флешку и как-то все заработало само:

http://i.imgur.com/4jmyVVUm.jpg (https://i.imgur.com/4jmyVVU.jpg)

Вопрос 1: а как поярче сделать картинку? Может какие номиналы на видеовыхлопе поменять? У меня вместо белого темно серый.
Вопрос 2: а менюшки как у 128 спектрума нету? У меня сразу проваливается в basic48 при старте.

tank-uk
24.05.2021, 07:12
а менюшки как у 128 спектрума нету?
это DivMMC его в 48 выкидывает , .128 и будет меню 128

- - - Добавлено - - -


У меня вместо белого темно серый.
а яркие цвета пробовал ?

SoftLight
24.05.2021, 08:17
а яркие цвета пробовал ?
Градации яркости есть, но по сравнению, скажем, с Карабасом-128, картинка раза в два наверное темнее получается. Хотя номиналы сопротивлений правильные. Надо будет ещё через AV проверить.

Ага! А вот у коллеги тоже самое! У меня подключение тоже через GBS.

Яркость на GBS пришлось на максимум выкрутить и подстроечниками и через его меню.

SoftLight
29.05.2021, 15:12
Спасибо за нано-Карабасика! Замечательный милипусечный клон. Добил все вопросы, все работает стабильно : проверил игры, демки, wifi, звук, клава и обычная и через ps/2 keyboard adapter v1.2.
http://i.imgur.com/axiD0GAm.jpg (https://i.imgur.com/axiD0GA.jpg) http://i.imgur.com/g7AtOp7m.jpg (https://i.imgur.com/g7AtOp7.jpg)

Под свою сборку кое-что поменял:
1) Сделал прошивку с esxdos 0.8.9. Качать тут (https://disk.yandex.ru/d/x46q4klFM-rZdA). В прошивке: сначала 8Кб esxdos, потом 8 Кб + 16 Кб забито $ff, затем 32 Кб штатное пзу Spectrum 128k.
2) Так как китаезы под видом ATmega8A (причем, маркировка заводская) прислали по сигнатуре чипа ATmega88P, то заливал я себе прошивку AY AVR 2.60 для ATmega88 под кварц 24 Мгц. Качать тут (https://disk.yandex.ru/d/GVps9rcT2_ozLw). Играет без ошибок, по крайней мере я их не заметил.

Uzix
08.07.2021, 21:02
Тоже вступил в ряды карабасоводов. Но т.к. просто паять скучно :), то сделал ревизию с TurboSound и ещё несколькими мелочами. Комп работает, музыка играет, всё шикарно :v2_dizzy_punk:
https://s.micp.ru/thumb/Be9k8.jpg (https://s.micp.ru/Be9k8.jpg)
Единственно, AVR TurboSound не проходит AY test 0.2, но, похоже, это и в оригинальной конструкции так.

Romych
14.07.2021, 00:48
Блин, Uzix, молодец. Тоже хочу турбосаунд :)
Пока экспериментирую с корпусом. Цвет пластика, конечно, для буряка-пи больше подходит :) Ну такого было просто не жалко на эксперименты :)
https://i.ibb.co/BKwKS5v/Karabas-Nano-Closed.jpg (https://ibb.co/BKwKS5v)
https://i.ibb.co/6NqDCcB/Karabas-Nano-Open.jpg (https://ibb.co/6NqDCcB)
Кнопки B3F-4055, конечно, удобнее, чем мелкие металлические, но они крутятся на своих местах, из за этого, выглядят не эстетично и громковато кликают, ночью не по жмакаешь :)
Со спец-прошивкой Andykarpov под RGB-VGA (https://zx-pk.ru/threads/30806-karabas-nano.html?p=1113753&viewfull=1#post1113753)конвертор, изображение на мониторе просто отличное.

andykarpov
14.07.2021, 11:48
Пока экспериментирую с корпусом

Класс! Выглядит очень уматово, теплое, ламповое, сделано с любовью :)
И бонусом - запасная клава внутри корпуса :)))
Еще и есть место в корпусе - можно еще что-нибудь запихнуть, усилитель со спикером, или трольсофтовский мафончик, или еще чего :)

andrews
14.07.2021, 12:19
Кто в Питере соберется делать, черкните в личку пожалуйста, хочу "на хвост сесть". Если не сможете помочь с монтажом, свой монтажник у меня имеется. Правда пустяки пока для меня собирал, но претензий по качеству монтажа у меня к нему нет.

backa
04.12.2021, 00:24
Попробую задать вопросы "начинающего" плисавода тут - применительно и исключительно к данному девайсу!
Времена сейчас трудные по теме покупки чипов: наличие на складах и цены удручают((
Вот хочу сделать из того что реально есть в руках: XC95288XL в 144ногом корпусе. Навскидку должен заменить Альтеру но надо весь проект перекомпилировать в ISE XILINX. Установил и сразу уперся в войну несовместимостей конкурентов - в проекте используется алетровская встроенная библиотека LPM и изза нее , естественно, проект вываливает ошибку
Установил Алтеровский кватрус 13ый чтобы попробовать как-то решить эту проблемму.
Естественно попытался собрать проект из исходников и тоже получил ошибку: ругается на отсутствие библиотеки work ( в файлах video.vhd и divmmc.vhd ). Нарпимер в такой строке "U_SHIFTIN: entity work.shift_in" - это элемент из той самой проприаритарной либы "LPM" от Altera. Понимаю , что вопросы детские но все-таки не могу через них переступить и пойти дальше. Может автор подскажет ответы (видимо где-то не прописана настройка в IDE ALTERA или я ошибаюсь)?
С XILINX придется брать старые ревизии проекта - там эта либа не используется - это конечно расстраивает: лишаться всех плюшек не хотелось-бы....

andykarpov
04.12.2021, 00:59
work.shift_in - это да, модуль, построенный на базе какой-то мегафункции квартуса. но фактически - это обычный сдвиговый регистр, его переписать на чистом vhdl или verilog - очень просто :)
нужен регистр нужной длины и проталкивать в него новые значения (слева или справа, нужно посмотреть, что там настроено), снимать значение, соответствено, с другой стороны.
Вот готовые примеры, как это можно сделать: https://vhdlwhiz.com/shift-register/

backa
04.12.2021, 02:30
это обычный сдвиговый регистр, его переписать на чистом vhdl или verilog
спасибо за оперативный ответ!
Быстро пробежавшись по интернету - по советам "бывалых" все сводится, что надо руками переписать эту "уникальную мегафункцию"
Я в самом начале этого пути к вершине "плисоводства" и надо немного почитать по теме.
Про функцию я понял, что надо переписывать , если хочу это все запихнуть в XILINX.
Вот второй вопрос , почему квартус ругается на либу "work"? Кстати ISE XILINX все сам растусовал по файлам когда проект создавал из Ваших файлов и "work" прописался в параметрах файлов как название либы...
Вот чего Квартусу не хватает - не понимаю от незнания - сегодня только первый день его "прощупывал" на предмет с наскока создать существующий проект и скомпилировать его. У меня есть в большом количестве EPM7128SQI100-10 но они не потянут по обьему , к сожалению.
А воообще конечно глядя на все это "безобразие" (я имею ввиду Verilog VHDL) после многих лет общения с Си под микроконтролеры - мягко скажем очень непривычно , несмотря на то, что все пишут, Verilog близок к Си программистам...
Но Spectrum -это "второй" комп после РК-шки и он на всю жизнь )))

Sandro
04.12.2021, 13:08
А воообще конечно глядя на все это "безобразие" (я имею ввиду Verilog VHDL) после многих лет общения с Си под микроконтролеры - мягко скажем очень непривычно , несмотря на то, что все пишут, Verilog близок к Си программистам...


Глупости пишут. Несмотря на набор синтаксических символов, похожий на С, на самом деле Verilog -- это бейсикообразный язык. Именно это и сбивает с толку сишников, пытающихся писать на Верилоге. Просто надо понять и принять, что это закамуфлированный Бейсик, и писать соответственно. Тогда всё будет просто.

А VHDL -- это, разумеется, Ада с доработками для параллельного исполнения (process). В принципе, если симулятор корректно поддерживает ввод-вывод, на нём можно писать консольные программы, и они даже будут работать. Правда неясно, зачем :D

- - - Добавлено - - -

Забыл добавить. WORK -- это такая псевдобиблиотека, куда компилятор скидывает всё, что не привязано к какой-либо библиотеке (то есть, обычно весь пользовательский проект). Не надо туда ничего руками добавлять, это неправильно.

backa
04.12.2021, 16:54
Глупости пишут. Несмотря на набор синтаксических символов, похожий на С, на самом деле Verilog -- это бейсикообразный язык
За пару дней вникания как "это" все устроено и структуировано , чисто на глаз больше Verilog ложится , если можно так сказать - как-то более лаконичен и структуирован (аналогия с Си в том , что дробится все на 100500 отдельных функциональнозаконченных функций . Кстати если брать многопоточные программы на Си то сходство еще больше усиливается ). Все это лишь предпочтения и особенности синтаксиса ))) Оба языка делают свое дело с равным результатом а иначе один из языков ушел бы с рынка...


WORK -- это такая псевдобиблиотека, куда компилятор скидывает всё, что не привязано к какой-либо библиотеке (то есть, обычно весь пользовательский проект). Не надо туда ничего руками добавлять, это неправильно.
Я уже почти "догадался" про это ( Вы подтвердили мои предположения) ) но вот почему квартус взбрыкнул на этот "work" - не понимаю((
Версия квартуса та же, что и у автора, после установки ничего не менял в настройках. Пробовал начисто проект создать с помощью добавления файлов VHDL-исходников а также путем создания директория со всеми файлами проекта , которые автор выложил в папке "syn". Реакция одинаковая... ругань на несуществующий "work"

kox
13.06.2022, 19:12
Вопрос к автору этого замечательного компа: а турба не планируется? Хотя бы 7мгц....

valerium
24.07.2022, 20:22
Потихоньку собираю плату rev.I с турбосаундом, прошивка сконфигурирована под кварц 28мгц и цифровые выходы под скандаблер на правой части разъема клавиатуры.
Запаяна и прошита ПЛИС, запаяна память, генератор и практически вся значимая мелочевка.
Память CY62167EV30LL-45ZXI.
На композитном выходе через AD724 ничего не увидел ((
Подключил скандаблер на RGBISync, монитор вроде какую-то синхру ловит, но картинка полностью черная. Пока надеюсь, что это из-за черного бордюра и запрета доступа к памяти у видеоконтроллера.
Вопрос: на нем без процессора как-то увидеть видеопамять можно ? С мусором в виде мерцающих квадратиков, разумеется.
/IORQ, /MREQ, /M1 - что еще деактивировать надо, чтоб заработало видео ?

valerium
30.07.2022, 10:12
/IORQ, /MREQ, /M1 - что еще деактивировать надо, чтоб заработало видео ?
Добился картинки на скандаблере, сам не пойму, в чем было дело - когда стал подавать раздельно кси и сси, все заработало, потом снова вернул смесь и подал на оба входа синхра скандаблера - а оно не перестает работать...
Никакие из вышеупомянутых сигналов не блокируют доступ видео к памяти, поэтому картинка есть даже при одной плиске и генераторе. Запаял память, цп, пзу - все поехало.
Вопрос только в том, что ничего не вижу на композитном выходе. Или все ad724 с алика мертвые (одна перепилена и стерлась ацетоном полностью), или всем моим мониторам сигнал на AV не нравится.
Если кто успешно их покупал в китае последнее время, поделитесь ссылкой на продавца, пжл.

OlegarX
30.07.2022, 13:13
В прошлом году вот эти покупал, все настоящие.
https://aliexpress.ru/item/1005001665400275.html?gatewayAdapt=glo2rus&sku_id=12000017040630630&spm=a2g0s.12269583.0.0.48824b53Trt79e

valerium
31.07.2022, 00:22
Композитный выход пока оставил в стороне, дособирал турбосаунд... сейчас уже понял, что зря запаял обе атмеги.
Собственно, собирал на том, что есть - ATmega48-20AU, у автора где-то видел заметки о том, что гнались аж даже до 40 мгц.
Исходник собрался для обоих чипов (TURBOSOUND=1 для первого и TURBOSOUND=2 для второго), для первого опцию SPEAKER=1 включал.
Конфигурация в EEPROM залита Conf_parallel_28MHz_1_75Mhz.hex. Фьюзы low: 0xE0, high: 0xDD, ext: 0xFF.
Не детектится и не играет ни один "AY".

Где ошибаюсь или как проверить, работают ли атмеги на 28 мгц ?

Vasily_A
01.08.2022, 07:55
по композиту на нано (ревизия G): композит "в меру кривой" - на том что пробовал:
1. мелкий телек ЖК (8", матрица 640х480) - синхро ок, цвета нормальные, но насыщенность слабая.
2. простейший юсб2 "свисток" с композитом - синра есть, цвета нет.
3. внешний тв тюнер avertv box7 - по входу внешнему - синий экран. выкинув из тюнера вч-часть, подцепился внутри вместо композита от тв-приемной части, поставил подстроечник по сопротивлению входа (можно сделать регулировку сопротивления более 75ом) - синхронизация после переключения входа у тюнера появляется (после включения по питанию кадры дрожат), цвета нет.
4. автомобильные мониторы 16:10 (или 16:9, хз точно, несколько проверял) 8-10" - синий экран.

valerium
01.08.2022, 13:26
по композиту на нано (ревизия G): композит "в меру кривой" - на том что пробовал:


То есть может быть все еще и работало... Попробую на аналоговом телеке глянуть, хотя он тоже не классика.
Но на тфт-мониторе 5", который я пытался подключить, не было ничего ( Хотя на нем даже ленин1 без корректировки длины сси отображается неплохо, правда все несколько сдвинуто за край )

Uzix
01.08.2022, 13:36
valerium, а распиновка кабеля правильная? в rev I как у raspberry, в rev J выбираемая.

valerium
01.08.2022, 14:23
valerium, а распиновка кабеля правильная? в rev I как у raspberry, в rev J выбираемая.

Увы. Ни кабеля, ни распиновки нет, есть концы мгтф-а, один припаян к r19, другой к земле, где поближе.
Разъемы буду паять, когда уже будет ясно, что сдувать ничего не потребуется )

valerium
29.08.2022, 21:43
В прошлом году вот эти покупал, все настоящие.
https://aliexpress.ru/item/1005001665400275.html?gatewayAdapt=glo2rus&sku_id=12000017040630630&spm=a2g0s.12269583.0.0.48824b53Trt79e
Спасибо за контакт, от этого продавца получил пятерку AD724. Запаял и с первой же на композите получил картинку. Есть особенности, конечно, например при фиолетовом бордюре картинка через пару секунд искажает цвета и "факелит", но это еще могут быть баги монитора (5" а-ля автомобильный).
С турбосаундом интереснее. С атмегами48 ничего не добился, оставил их в покое.
Приехали китайские атмеги88, запаял по одной - шьются нормально, но в любых комбинациях работает только первый TS-чип (проверял в WildPlayer), каналы второго отсутствуют. То есть если прошивать наоборот (U4 - второй, U10 - первый) - история та же, работает первый, который теперь в U10, в разницей только на бипер, ибо он с U10 просто не выведен. Пересобирал прошивки обоих чипов - не повлияло. Собирал single-версию, зашивал отдельно в каждую атмегу (при этом вторую стирал) - по отдельности single работает в обоих чипах нормально. Кстати, в этом случае даже "тест v0.2" его нормально определяет и тестирует.
То есть вроде как контроллеры оба исправные и на 28мгц заводятся без вопросов. Что же я тогда делаю неправильно, есть идеи ?

solonoid
19.10.2022, 18:28
Собрал карабас нано ревизия J с turbosound на atmega 88 , turbosounda с чипа u10 нет , с 13и 14 вывода есть какие-то короткие импульсы высокой частоты , которые не меняются со звуком , который идет с u4. У valeriuma похожая проблема. Что делать , может кто знает. Есть ли в этой ревизии турборежим , матрица epm3256, прошивка divmmc rev g? При подаче сигнала на вход турбо все зависает.

andykarpov
19.10.2022, 19:01
Никто кроме Uzix турбосаунд-версию вроде как и не запустил полноценно.
Посему вопрос к автору ревизии rev.I, может там чо-то в сырцах и/или бинарях не то?
Или может нужно что-то пофиксить в дешифрации порта AY на стороне корки?


Есть ли в этой ревизии турборежим
нету... места нет в CPLD под все это.


При подаче сигнала на вход турбо все зависает
в крайних прошивках там вообще эти доп сигналы на хедере клавиатурном - выходы RGBS, поэтому нужно аккуратненько с этим.
Надо смотреть в исходник прежде чем экспериментировать.

snark013
20.10.2022, 11:17
нету... места нет в CPLD под все это.
а если поставить 7512 ? вроде карабас нано позволяет и ее использовать ?

Uzix
20.10.2022, 13:37
Посему вопрос к автору ревизии rev.I, может там чо-то в сырцах и/или бинарях не то?
Я даже засомневался. Взял свою плату, хексы с гита, прошил заново флеш, еепром, фьюзы на обоих атмегах, и... всё играет.

https://cloud.err200.net/s/HZJKRTb5cJMxa6W

77973

valerium
20.10.2022, 14:24
Я даже засомневался. Взял свою плату, хексы с гита, прошил заново флеш, еепром, фьюзы на обоих атмегах, и... всё играет.

А есть ли треки, где все 6 каналов слышно ? Что-нибудь знакомое, например, из демо-треков дистрибутива wild player.
У меня оно тоже вроде бы играло, но каналов было слышно примерно половину...

Регистр, выбирающий один из двух "как-бы AY" турбосаунда реализуется самостоятельно каждой атмегой, правильно ? Со стороны ПЛИС все сигналы выборки совершенно параллельны ? Или в прошивке ПЛИС тоже могут быть нюансы ?

Uzix
20.10.2022, 14:42
А есть ли треки, где все 6 каналов слышно ?
В этом треке используются оба чипа, на втором ударные (начиная с 0:35). Можно в Vortex Tracker послушать как звучала бы половинка.
77974


Что-нибудь знакомое, например, из демо-треков дистрибутива wild player.
А скиньте трек, думаю, так проще будет.


Регистр, выбирающий один из двух "как-бы AY" турбосаунда реализуется самостоятельно каждой атмегой, правильно ? Со стороны ПЛИС все сигналы выборки совершенно параллельны ? Или в прошивке ПЛИС тоже могут быть нюансы ?
Вся логика выбора чипа для турбосаунда в атмегах, прошивка ПЛИС работает с ними как с одним чипом.

valerium
21.10.2022, 14:21
А скиньте трек, думаю, так проще будет.

Чот я призадумался, выскребая из образа файл, который виден в плеере с расширение .p_S, как бы его не исказить, поэтому предложу такой вариант:
Авторский дистр WP
http://budder.narod.ru/MugenGroup/download/files/wp0_333full.zip
в нем предлагаю послушать TS-треки
FACTOR6 (в нем есть соло, гуляющее вверх-вниз, на карабасовском TS у меня этот канал напрочь отсутствует)
FunkyEnv (на карабасе-нано тож каналов сильно не хватает)

Uzix
24.10.2022, 09:22
valerium, можно через плагин inTRD к Total Commander вытаскивать.

Записал оба трека: https://cloud.err200.net/s/ZXyexTpf8kAoaSY - правда, как оказалось, карта захвата умеет только в моно (хотя на входе два тюльпана для звука...).

Звук явно несколько отличается от zxtune, но, на первый взгляд, каналы все на месте.

zebest
24.10.2022, 09:39
А есть ли треки, где все 6 каналов слышно ?
Ачто, тест (https://hype.retroscene.org/blog/747.html) для этого уже не подходит ?

Uzix
24.10.2022, 11:51
Ачто, тест для этого уже не подходит ?
turbosound версия AVR-AY не проходит этот тест - как в оригинальной конструкции, так и в карабасе.

valerium
24.10.2022, 18:36
Звук явно несколько отличается от zxtune, но, на первый взгляд, каналы все на месте.
Да, тут все правильно звучит. По крайней мере каналы все на месте.
Значит не все атмеги88 одинаково полезны (
Ладно, это повод дальше искать причину ))

Сейчас попробовал затереть обе атмеги, попрошивал в обоих комбинациях (U4 и U10 поменял местами), зашивал и свои сборки, и с гита - ничего не меняется.
Если один стираю, а в любой второй зашиваю single-сборку, то звучит как надо (насколько это должен делать эмулятор), но соот-но 3 канала.
Тест02 в этих случаях показывает один чип и CRC OK по всем регистрам.

А вайлдплеер может криво детектить TS и не использовать его режим ? А то я другим ничем и не тестировал - может в этом дело ?
В видеоролике какая версия WP ?

Uzix
25.10.2022, 09:14
valerium, версия последняя, 0.333.
Точно всё правильно прошиваете?
u4_j11_emul_260_turbosound_chip0_speaker.hex (md5 4cf8845c01a5e714def656da99c5f6b4) в один чип и u10_j8_emul_260_turbosound_chip1.hex (md5 dd11fb757594bdb3d076e90e8e0cd5ab) в другой
Conf_parallel_28MHz_1_75Mhz.hex (md5 7cc92e3acf9c332a816423a1ff6a2a84) в оба чипа (после заливки хекса с прошивкой)
Фьюзы low 0xE0, high 0xDD, ext 0xFF в оба чипа
И всё это верифицируется потом корректно

valerium
25.10.2022, 15:18
valerium, версия последняя, 0.333.
Точно всё правильно прошиваете?

Я тоже очень надеялся, что где-то косячу. Но вчера за полтора часа несколько раз прошив и перепроверив убедился, что все правильно (
Avrdude в пакетном режиме ругается на верификацию ext-фьюза, мол, читается 0x07, а должно быть 0xff, но это глюк чтения, потому что после этого отдельным заходом фьюзы читаются правильно (0xff в ext).

Попробую поиграть с более старыми версиями avr-ay, надо будет только переточить их на 28 мгц

backa
10.05.2023, 23:44
подскажите по ПЗУ.
В архиве лежит 2 версии для 29ee040:
zc-29ee040.rom и divmmc-29ee040.rom
Что записывать в ПЗУ чтобы работали ОБЕ версии - ZC и DIVMMC?
Хочу один раз залить версию и больше не доставать из панельки ПЗУ.

и по докам _ karabas-nano-revJ.pdf - это последняя на данный момент версия(со всеми доработками и исправлениями)?

backa
11.06.2023, 23:27
на мой прошлый вопрос (см выше) никто не ответил и я решил идти методом "тыка".
Я "скомпилировал" исходники из папки "universal": в качестве топ файла брал karabas_nano_14mhz.vhd(из соображений , что он меньше ресурсов берет от моей CPLD). Я распаял на макетке для для тестов и отладок мои вариантов печаток (не люблю резанные дорожки и паек проводов в готовом устройстве). CPLD - XC95216 XILINX. Я сделал ошибку и надо было СНАЧАЛА убедиться что 216 макроячеек хватит для данного проекта а потом уже распаивать ее на макетке. Теперь пытаюсь впихнуть в кристалл путем "вырезания" ненужного - а его в данном клоне нет вааще ((( вопрос стоит о паре процентов нехватки места на крисстале - настройки не помогают (((
Вопрос в другом - у меня "интересно" показывает картинку - зеркалит КАЖДЫЙ символ - приложу картинку для понимания.... не могу понять где я накосячил - вроде все перепроверил много раз в распайке видеовыхода.... (кстати у меня телек в NTSC и кварц и перемычки соответвующие для AD724).
И второе - он после сброса и после кнопки "BTN_NMI" выкидывает или 128К режим или в 48К. Как бы я не пытался играть с перемычками ROM_A16 "ROMBANK0" и ROM_A17 "ROMBANK1" - результат все тот-же : или 128К или 48К .... SD-Cadr - я записал файлы из папки SD.
Карта старая на 240М - должна работать по-идее.
Кстати цвета тоже нет , но тут я больше на распайку проводами грешу и и на кварц - осциллографом я так и не смог увидеть синус 3.57МГц (с делителем естественно ) - внешний генератор (генератор работает и выдает как положено и написано на кварце)при подключении к 3 ноге схлопывает картику вааще .... но это уже не сильно важно - я уже опробовал для VGA и результат меня устраивает )) Дальше - HDMI выход через Raspberry Pi Zero - но это когда запущу полностью функционал
Буду РАД если автор уделит немного времени и ответи на вопросы - я новичок (все еще) в плисоводстве и учусь на этом компе на практике )))
В ПЗУ я зашил divmmc-29ee040.rom. В настройках файла "karabas_nano_14mhz.vhd" ничего не менял в шапке .
Кстати CPLD ОЧЕНЬ сильно греется с залитой прошивкой а стертая - холодная ....78969

andykarpov
12.06.2023, 01:32
Что-то столько вопросов за один раз - сложно осилить:)

1) Что записывать в ПЗУ чтобы работали ОБЕ версии - ZC и DIVMMC? - соберите сами прошивку (Makefile там есть), чтобы в одной половине было то что нужно для divmmc, а во второй половине - то что нужно для ZC, чтобы переклбчать перемычной банки.

2) обвязка для AD724 под NTSC - это, конечно, хорошо, но тогда и код надо править так, чтобы развертка тоже была NTSC :) Иначе кина не будет. Был ли в природе пентагон под ntsc я даже не знаю. И это значит, что с бордер-эффектами можно будет попрощаться.

3) NMI должна перехватываться модулем divmmc и показывать NMI browser. Если этого не происходит, значит ESXDOS не проинициализировался. При включении есть стартовое меню ESXDOS, там где отображается список найденных устройств и загруженных модулей с SD карты ?

4) То что CPLD греется - значит где-то есть конфликт, ну либо по скорости не выгребает. Без предоставления исходников сложно понять, что вы там наделали :)

backa
12.06.2023, 02:00
1) Что записывать в ПЗУ чтобы работали ОБЕ версии - ZC и DIVMMC? - соберите сами прошивку (Makefile там есть), чтобы в одной половине было то что нужно для divmmc, а во второй половине - то что нужно для ZC, чтобы переклбчать перемычной банки.
Как я и писал, я ипользовал готовую из вашего github-а : divmmc-29ee040.rom. Меня больше устроил бы divmmc - он развиваеться и надеюсь, имеет больше возможностей чем ZC. Меня больше интересует сам процесс создания железки , чем ее использование))) Makefile видел но не собирал сам потому, что не понятен алгоритм работы двух устройств в одной железяке: ZC и DIVMMC. После Вашего ответа стало понятно для чего нужны перемычки ROM_A16 "ROMBANK0" и ROM_A17 "ROMBANK1". Их можно завести на Raspberry Pi pico и удаленно дергать на uPython-e))))

2) обвязка для AD724 под NTSC - это, конечно, хорошо, но тогда и код надо править так, чтобы развертка тоже была NTSC Иначе кина не будет. Был ли в природе пентагон под ntsc я даже не знаю. И это значит, что с бордер-эффектами можно будет попрощаться.
про это я уже подумал сам. Попробовав подключить для теста вот такую штуку на Raspberry Pi pico (http://www.breakintoprogram.co.uk/projects/pico/composite-video-on-the-raspberry-pi-pico). Там тоже под PAL "кино" очень условное и черно-белое)))

3) NMI должна перехватываться модулем divmmc и показывать NMI browser. Если этого не происходит, значит ESXDOS не проинициализировался. При включении есть стартовое меню ESXDOS, там где отображается список найденных устройств и загруженных модулей с SD карты ?
Карта стоит в приемнике - файлы переписаны в корень из вашего раздела: karabas-nano-master\sd\divmmc\. Старта "divmmc" я так и не увидел - ни прикаких комбинациях.
4) То что CPLD греется - значит где-то есть конфликт, ну либо по скорости не выгребает. Без предоставления исходников сложно понять, что вы там наделали
Про конфликт не скажу, потому что нет осциллографа под рукой. Могу предположить , раз комп заводиться и работает то все что к нему подключено - все работает как положено. На данном этапе подключено только МИНИМУМ - ПЗУ, ПРОЦ и ОЗУ! Скорость та же что и Вас - 28МГц заводиться во-внутрь. Чип шустрый но старый))) Исходники - НИЧЕГО не менял , кроме замены сдвиговых регистров которые использовали встроенные библиотеки от ALTERA.
вот мой "код" в файле divmmc.vhd (в конце файла)


signal clock_shift_in : std_logic;

process ( I_CLK, cnt(3) )
begin
clock_shift_in <= not I_CLK and not cnt(3);
end process;

U_SHIFTIN: entity work.shift_in
port map(
--clock_in => not I_CLK and not cnt(3),
--clock_in => '1',
clock_in => clock_shift_in,
shiftin => I_MISO,
q => O_DATA
);

O_SCLK <= I_CLK and not cnt(3);
O_MOSI <= shift_out(7);


а это замените


entity shift_in is
port(
clock_in : in std_logic;
shiftin : in std_logic;
q : out std_logic_vector(7 downto 0)
);
end shift_in;

architecture syn of shift_in is
signal tmp: std_logic_vector(7 downto 0);
-- signal clock : std_logic;
COMPONENT lpm_shiftreg
PORT (
clock_in : IN STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (7 DOWNTO 0);
shiftin : IN STD_LOGIC
);
END COMPONENT;

begin

process (clock_in)
begin
if rising_edge(clock_in) then
tmp <= tmp(6 downto 0)& shiftin;
end if;
end process;
q <= tmp;

end syn;




entity shift_out is
port (
clock : in std_logic ;
data : in std_logic_vector (7 downto 0);
enable : in std_logic ;
load : in std_logic ;
shiftout : out std_logic
);
end shift_out;

architecture syn of shift_out is
signal sub_wire0 : std_logic ;
signal register_tmp : std_logic_vector (7 downto 0);
begin

process ( clock, load, enable )
begin
if( clock'event and clock = '1' ) then
if ( enable ='1') then
if( load = '1') then
register_tmp <= data; -- параллельная загрузка
else
register_tmp <= register_tmp(0)&register_tmp(7 downto 1) ; -- сдвиг вправо по циклу
end if;
shiftout <= register_tmp(0) ; -- последовательный выход
end if;
end if;
end process;

UncleDim
12.06.2023, 09:32
Иначе кина не будет
Вот кстати не факт (вполне существуют всякие NTSC-50, NTSC-4.43...)

andykarpov
12.06.2023, 19:29
а попробуйте сдвиг в другую сторону сделать чтоль, я думаю в этом проблема с отзеркаленными символами :)

backa
12.06.2023, 21:14
а попробуйте сдвиг в другую сторону сделать чтоль, я думаю в этом проблема с отзеркаленными символами :)
вопрос в том , что двигать))) и где.... Он зеркалит каждый символ но выводит их в нужные мес а на экране.....
проверил осциллографом - все сигналы на проце похожи на правду - нет конфликтов уровней. Сигналов на SD-CARD нет вааще : ни при старте ни после манипуляций кнопками и джамперами.

andykarpov
12.06.2023, 22:32
вопрос в том , что двигать))) и где.... Он зеркалит каждый символ но выводит их в нужные мес а на экране.....

я думаю, как-то так надо попробовать:



entity shift_out is
port (
clock : in std_logic ;
data : in std_logic_vector (7 downto 0);
enable : in std_logic ;
load : in std_logic ;
shiftout : out std_logic
);
end shift_out;

architecture syn of shift_out is
signal sub_wire0 : std_logic ;
signal register_tmp : std_logic_vector (7 downto 0);
begin

process ( clock, load, enable )
begin
if( clock'event and clock = '1' ) then
if ( enable ='1') then
if( load = '1') then
register_tmp <= data; -- параллельная загрузка
else
register_tmp <= register_tmp(6 downto 0) & '0' ; -- сдвиг влево
end if;
shiftout <= register_tmp(7) ; -- последовательный выход
end if;
end if;
end process;

backa
12.06.2023, 23:21
я думаю, как-то так надо попробовать
Спасибо за помощь! (не первый , и надеюсь не последний, раз консультируете по своим разработкам)
Сейчас попробую внести изменения и в моем случае получить jed файл.
Изза того что ресурсов впритык - я ограничен в любых манипуляциях в коде((( Сразу выдает ошибку , что тот или иной сигнал не может провести внутри кристалла. Изза этого вынужден был использовать файл в ввиде топа: karabas_nano_14mhz.vhd.
Если использую karabas_nano.vhd то ни одной удачной компиляции не было - нехватка ресурсов(((
Хочу еще задать вопрос.
В начале топ файла есть установки :


ram_ext_std : integer range 0 to 9 := 0; -- 0 - pentagon-128
-- 1 - pentagon-512 via 6,7 bits of the #7FFD port (bit 5 is for 48k lock)
-- 2 - profi-512 via 0,1 bits of the #DFFD port
-- 3 - KAY-1024 via 7 bit #7FFD, 4,7 bits #1FFD
-- 4 - Scorpion-1024 via 6,7 bits #7FFD, 4 bit #1FFD
-- 5 - Profi-256+Kay-512 - 0 bit #DFFD, 4,7 bits #1FFD
-- 6 - Pentagon-512+Profi-256 - 6,7 bits #7FFD, 0 bit #DFD
-- 7 - Pentagon-256+Profi-512 - 7 bit #7FFD, 0,1 bits #DFFD
-- 8 - profi-1024 via 0,1,2 bits of the #DFFD port
-- 9 - Pentagon-1024 via 7,6,5 bits of the 7FFD port
enable_port_ff : boolean := true; -- enable video attribute read on port #FF
enable_port_7ffd_read : boolean := false; -- enable port 7ffd read by CPU (only it trdos mode)
enable_divmmc : boolean := false; -- enable DivMMC
enable_zcontroller : boolean := true; -- enable Z-Controller
enable_trdos : boolean := true; -- enable TR-DOS
enable_service_boot : boolean := true; -- boot into the service rom (when z-controller and tr-dos are enabled)
enable_ay_uart : boolean := true; -- enable AY port A UART
enable_bus_n_romcs : boolean := false; -- enable external BUS_N_ROMCS signal handling
enable_bus_n_iorqge : boolean := false; -- enable external BUS_N_IORQGE signal handling
enable_14mhz_freq : boolean := false -- use 14 MHz crystal instead of 28 MHz


Я ничего не менял - но как только я меняю разрешения для divmmc и zcontroller - "компилятор" снова начинаеться жаловаться на нехватку.
Вопрос в следующем - будет для работать divmmc по умолчанию если все оставить как есть (см выше)? Не понятно почему divmmc запрещен. Я готов пожертвовать ZC ради места в крисстале ))) Это все равно макетка. На PCB я разведу под 288 макроячеек потом....



Попробовал:
Стало хуже - больше "букаф" нет ((( и меню 128 стало пустым - оххх
Знакоместа стали "пустыми"(( Возвращаюсь к зеркальноотображенным - с ними хоть DIVMMC можно пытаться запустить)))

andykarpov
12.06.2023, 23:53
разрешения для divmmc и zcontroller - "компилятор" снова начинаеться жаловаться на нехватку

0) файл karabas_nano_14mhz.vhd появился в репе случайно, когда Kalantaj попросил под его перемаркированные генераторы сделать сборку :)
1) соответственно, за основу лучше брать актуальную версию top-level модуля
2) все эти флаги появились, собственно, также из-за нехватки ресурсов :)
3) одновременно ZC и DivMMC не будет жить. нужно включить что-то одно.
4) в любом случае, флаги нужно под себя настроить. каждый флаг влияет на условную компиляцию того или иного блока в коде

Если у вас прошивка собрана с текущими флагами,как указаны выше - то:
1) она под ZC, соотв в ПЗУ должен быть прошит образ ПЗУ под ZC
2) комп будет стартовать в GLUK reset service
3) флаг enable_14mhz_freq выключен, соотв. ожидается тактовая 28МГц, а не 14.

backa
13.06.2023, 00:07
Если у вас прошивка собрана с текущими флагами,как указаны выше - то:
1) она под ZC, соотв в ПЗУ должен быть прошит образ ПЗУ под ZC
2) комп будет стартовать в GLUK reset service
3) флаг enable_14mhz_freq выключен, соотв. ожидается тактовая 28МГц, а не 14.

ну теперь понятна вся идея проекта)) Теперь можно осмысленно подойти к делу)
У меня соотвественно компиляция идет под ZC ( только с ним хватает места да и то не всякий раз ) а ПЗУ подDivMMC - как раз коллизия ((( Я внутрисхемно ПЗУ шил 2 часа - наверно поищу программатор))) на работе. Тактовую я уже понял что подобрал верно - изначально подал 14МГц и получил пустой экран и частоты в 2 раза ниже везде. А с 28МГц - все заиграло

Я сравнил тоталом, что есть в файле divmmc-29ee040.rom с файлами из папки \karabas-nano-master\roms\src\ и нашел наличие только файла "esxdos.rom"
А что там тогда расположено)) ?? Придется снова перешивать ПЗУ ....

backa
14.06.2023, 06:09
прошил ПЗУ под ZC и все стало веселее - глюки от RESET ушли - теперь строго в меню Глюка по ресету и в меню Spectrum по NMI. Заворот букв так и остался - на потом....
Надо теперь SD-Card заставить работать ... и VGA конвертер спаять - качество S-video просто не поддается критике - не понятно: толи NTSC , толи навесной монтаж .....

backa
05.07.2023, 14:30
за основу лучше брать актуальную версию top-level модуля
После того как устранил с Вашей помощью "отзеркалевание" знакомест , я попробовал собрать под себя последнюю версию Карабаса с установками "ZC". При любых опция для сборки комп при старте виснет на заставке HE GLUK.... На RESET реакции нет, поверху глюка хаотичные цветные квадратики моргающие ....
Я попробовал ВСЕ версии собрать - от первой до последней для ZC. Везде результат одинаковый зависон на стартовой странице ZC.
В итоге только вариант с использованием karabas_nano_14mhz.vhd собираетьтся в "почти" рабочий вариант.
Не работает интерфейс с SD_CARD.... Может будут идеи как его запустить. При выборе в меню пунктов с SD_CARD видно что он дергает выводами MISO MOSI SCK несколько тактов и тишина...

backa
08.07.2023, 01:38
Не работает интерфейс с SD_CARD....
вопрос снят - все заработало.
Осталось нормальную каринку получить - AD724 с ужасными помехами (оно и понятно - там на земле столько шумов, несмотря на катушки и кондесаторы по питанию) а VGA скандаублер пока не заработал как положено !

backa
13.07.2023, 17:39
может кто-то подскажет, в конфигурации "ZC" и подключенной памяти 512к ( есть вторая распаянная в параллель все пины за исключением CS на отдельный пин CPLD) как вообще запускать софт с SD-CARD. Fatal на карте присутсвует и работает а вот толку от него мало. Он только для операций по копированию туда-сюда файлов с SD-CARD на диск ТРДОС которого нет в нано физически.Может надо чтото иное чем FATAL запускать с карты? Честно я не в курсе .... мой опыт с синклером закончился на дискетах много лет назад.. Ввод-вывод с "ленты" тоже рабтает но это тоскливо ....Инфы как использовать ZC без реального дисковода и TRDOS я не нашел. Не жалуют его на нашем форуме. Даже темы нет по нему с инфой

- - - Добавлено - - -

Может кто раскажет последовательность как запускать игры и софт и есть ли возможность СОХРАНЯТЬ на карту программы на бейсике например, набранные с клавы....

andykarpov
14.07.2023, 23:05
может кто-то подскажет, в конфигурации "ZC" и подключенной памяти ... как вообще запускать софт с SD-CARD.

1) 512кб ОЗУ маловато будет. Нужно минимум метр.
2) стандарт ОЗУ должен быть по профику (через #dffd)
3) в образе ПЗУ под ZC, кажется, TR-DOS с поддержкой рам-диска по профику
4) соответственно, одна из букв дисковода - это рам-диск, на который можно распаковывать образы TRD, SCL с помощью fatall
5) оттуда же можно и запускать

Так примерно и работает :)

Как сохранять из бейсика на карту - такой возможности я не знаю.

А зачем вообще вы взялись ковырять этот ZC ?
Ведь штатная прошивка под DivMMC намного интереснее.

backa
15.07.2023, 01:16
Так примерно и работает
В очередной раз спасибо за исчерпывающую информацию!
Попробую пересобрать с исходными , вышеуказанными, установками. Сейчас я собирал по-наитию - без особого понятия как должно быть)))


А зачем вообще вы взялись ковырять этот ZC ?

Ответ до банальности прост - что влезло в мой XC95216 то и заливал - это макетка для пробы пера и обкаты всех "хотелок". Далее на PCB будет XC95288XL - туда все влазит (я имею ввиду DivMMC)... Я хочу из вне подключить VGA(другой CPLD или на Raspberry Pi pico), HDMI ( Raspberry Pi Zero ) и USB клавиатуру ((другой CPLD и Raspberry Pi pico)). Потому и вожусь с той конфигурацией, которая заработала .... как-то так...
Кстати , вот сейчас поставил рядом еще один XC95216 - вот думаю как "располовинить" VHDL код на 2 CPLD , чтобы точно влез DivMMC... хочеться глянуть хоть "глазком" , что это за зверь такой до того как начну равзодить PCB под этот компик и мой корпус ( вместе с Радио86РК на одной плате)- он ждет этого уже почти 10 лет))) - шикарный корпус ..

UncleDim
15.07.2023, 08:19
вместе с Радио86РК на одной плате
"В одну телегу впрячь не можно коня и трепетную лань" (с)) Пушкин А.С.

backa
15.07.2023, 17:57
В одну телегу впрячь не можно коня и трепетную лань
Это прекрасный тандем должен быть. Радио86РК - это первое прикасновении к магии микропроцессоров .... а Синклер - игрушки и убивание времени когда был молодым и бестолковым)))

backa
16.07.2023, 16:19
1) 512кб ОЗУ маловато будет. Нужно минимум метр.
ОЗУ подключено ввиде 2-х микросхем SRAM на 512К в параллель по всем линиям , за исключением пинов /CS - они в модуле MEMORY
подключены как в Вашей первой версии А:
N_MCS0 <= not(ram_page(5)) when vbus_mode = '0' else '1';
N_MCS1 <= ram_page(5) when vbus_mode = '0' else '0';


2) стандарт ОЗУ должен быть по профику (через #dffd)
собрал прошивку
ram_ext_std : integer range 0 to 9 := 8; -- 8 - profi-1024 via 0,1,2 bits of the #DFFD port


3) в образе ПЗУ под ZC, кажется, TR-DOS с поддержкой рам-диска по профику
TR-DOS VER 6.05E
пишет что нашел RAM-DISK на 896K

4) соответственно, одна из букв дисковода - это рам-диск, на который можно распаковывать образы TRD, SCL с помощью fatall
FATAL пишет на все буквы, что диска нет
при копировании любого файла с SD-CARD на диски A,C или D все "крашится" - портяться шрифты. На B копируеться вроде


5) оттуда же можно и запускать
Вроде все работает .... с 1Мегабайтом ОЗУ.

skyther
04.02.2024, 15:58
уважаемые, положите пожалуйста в репу на гитхаб бинарь под 7512 и исходник под него же.

alexeyflash1981
23.04.2024, 18:23
всем доброго.
кто может помочь со звуком?
из атмеги выходят не понятные звуки. бипер играет. прошивки пробовались разные, атмеги менялись.
на входах ВС1 и BDIR сигналы одинаковые но разной амплитуды, так должно быть ?
как понять что не исправно, цплд или все таки атмеги ?

backa
02.12.2024, 23:51
может автор подскажет , в чем суть uBUS в его новой версии компьютера (-GO).
Первый раз я ее увидел в "ReVerSE-U16" (к сожалению там есть только на первую ревизию исходик )
Если я верно понимаю , то это просто с большой скорость идет двунаправленный ввод-вывод состояние пинов процессора и некоторых служебных.
Это какой-то стандарт и просто ухищрение ввиду дикой нехватки выводов в адекватных корпусах FPGA (сам уперся в эту проблему в циклоне 10м сейчас - отводить 16 выводов на клоки с альтнативой толькоВВОД - просто ужос для TQFP144)

andykarpov
03.12.2024, 01:13
может автор подскажет , в чем суть uBUS в его новой версии компьютера (-GO).
Первый раз я ее увидел в "ReVerSE-U16" (к сожалению там есть только на первую ревизию исходик )
Если я верно понимаю , то это просто с большой скорость идет двунаправленный ввод-вывод состояние пинов процессора и некоторых служебных.
Это какой-то стандарт и просто ухищрение ввиду дикой нехватки выводов в адекватных корпусах FPGA (сам уперся в эту проблему в циклоне 10м сейчас - отводить 16 выводов на клоки с альтнативой толькоВВОД - просто ужос для TQFP144)

не совсем корректная тема для обсуждения данного вопроса, но отвечу тут:
- uBus - название красивое :) и да, позаимствовано у MVV
- никакого отношения к Reverse U16 не имеет
- никакого стандарта нет
- на karabas-go mini uBus - это всего лишь вывод свободных ног fpga для дальнейшего использования
- для karabas-go mini есть шилд (второй этаж) с CF картой, там как раз четко хватает сигналов чтоб ее заюзать
- были планы вместо CF карты что-то другое приделать, может быть, когда-нибудь (например туда просится часть vdac3 на esp32, но тогда CF карту надо будет выбрасывать)
- и да, у Xilinx нет такого идиотизма, как у Альтеры, что типа только на вход или только на выход некоторые ноги работают

backa
03.12.2024, 02:56
не совсем корректная тема для обсуждения данного вопроса, но отвечу тут
Во-первых большое спасибо за ответ! Емкий и информативный)
Топик по Go Вы запустили только сегодня)))
Я долго и упорно был на Xilinx (Ваш нано полностью перевел на Xilinx c с долонительными "плюшками") но на полке долго лежал Cyclone10LP (несколько лет) и не смог устоять от соблазна попробовать такой "навороченный" камушек.
К сожалению в TQFP144 что-то функционально-законченное на нем не сделать ввиду дичайшей нехватки выводов IO.
Вот и задался вопросом о использовании uBus) CPLD-ишек многоногих хватает ...
Кстати у меня тоже везде задействован симбиоз "RP2040+CPLD(FPGA)". Для поделок очень удачный и доступный MCU!

Owl
17.01.2025, 18:00
Здравствуйте.
Собираю версию J.
Подскажите, правильно ли я понимаю порядок прошивки Atmega88 ??

1 - Записываем FLASH в микросхему U4 файлом u4_j11_emul_260_turbosound_chip0_speaker
2 - Записываем FLASH в микросхему U10 файлом u10_j8_emul_260_turbosound_chip1
3 - Записываем EEPROM в микросхему U4 файлом Conf_parallel_28MHz_1_75Mhz
4 - Записываем EEPROM в микросхему U10 файлом Conf_parallel_28MHz_1_75Mhz

Все прошивки с фьюзами
low fuse: 0xE0
high fuse: 0xDD
ext fuse: 0xFF

Или как-то надо делать иначе ?

andykarpov
18.01.2025, 00:17
Насколько я помню, версия с двумя атмегами нормально заработала только у автора ревизии I - Uzix'а (ревизия J - это только небольшая косметика, по-сути).
Ни у меня, ни у коллег - турбосаунд не завелся на этой плате. Видимо, 28МГц для атмег - это многовато.
А так - все прошивки в гитхабе вроде бы закоммичены, включая ридми файл с фьюзами.
На первый взгляд, описанное выше - корректно.
ЗЫ: Если шить через avrdude - то каждый чип можно одной командой шить (за один раз и flash, и eeprom и фьюзы).

Owl
19.01.2025, 20:19
Насколько я помню, версия с двумя атмегами нормально заработала только у автора ревизии I - Uzix'а
Понял. Спасибо.
Сегодня ставил атмеги из третьей поставки.
Но так и не видит второй чип. Обычный (3 канала) AY играет.
Подожду, вдруг что-то прояснится ..

Uzix
27.01.2025, 09:27
Насколько я помню, версия с двумя атмегами нормально заработала только у автора ревизии I - Uzix'а (ревизия J - это только небольшая косметика, по-сути).

Но так и не видит второй чип. Обычный (3 канала) AY играет.
Не помню писал здесь или нет, но турбосаунд на аврках неполноценный: чтение из регистров криво происходит, из-за чего ломается детект ТСа - это очень хорошо видно в AY test 0.2. Это не проблема Карабаса - я даже специально собрал оригинальный проект AVR-AY чтобы убедится что там всё то же самое. Видимо, где-то в прошивке AVR-AY есть баг.
Но для какого-то софта это не критично. Если правильно помню, WildPlayer у меня нормально играл (но ему для ТС нужна прошивка Карабаса с >128кБ памяти)

- - - Добавлено - - -

Лучше было бы, конечно, сделать на обычной (не ТС) прошивке AVR-AY, а разруливание двух чипов реализовать через ПЛИС, но кто же знал :confused:

andykarpov
27.01.2025, 18:29
Ну, в общем, имхо, как вариант для ревизий I и J - это запаивать только одну атмегу и шить в нее обычную прошивку, не ТС.

valerium
02.03.2025, 00:17
Ну, в общем, имхо, как вариант для ревизий I и J - это запаивать только одну атмегу и шить в нее обычную прошивку, не ТС.

А можно ли деактивировать все атмеги, не выпаивая их (например, чтобы подключить на шину живой AY) и что для этого лучше - отпаять перемычку на питании атмег/ стереть в них прошивки/еще что-то, чтоб они перестали сколько-нибудь влиять на шины, куда они подключены ?

backa
02.03.2025, 01:51
еще что-то, чтоб они перестали сколько-нибудь влиять на шины
может быть RESET "прибить гвоздями" к лог. "0" ...



Key points about ATMega ports in RESET state:

No output behavior: No voltage is actively pushed out from any port pin in a reset state.
High impedance: The port pins behave like high-impedance inputs, meaning they are not actively pulling the voltage in any direction.
External pull-up/down resistors may affect behavior: If external pull-up or pull-down resistors are connected to the port pins, the voltage level may be influenced by those resistors when in reset.

valerium
02.03.2025, 10:24
может быть RESET "прибить гвоздями" к лог. "0" ...


Это да, но требуется отделить его от сброса процессора и плис. А хотелось бы более гуманно, без отрезания дорожек

andykarpov
02.03.2025, 14:55
А можно ли деактивировать все атмеги, не выпаивая их (например, чтобы подключить на шину живой AY) и что для этого лучше - отпаять перемычку на питании атмег/ стереть в них прошивки/еще что-то, чтоб они перестали сколько-нибудь влиять на шины, куда они подключены ?

Мне кажется, стереть обе атмеги будет достаточно.
По-умолчанию у них же все IO ноги - входы.

Berlin
20.06.2025, 20:25
В последней версии стоит ROM 39F040, таких в наличии нет , зато полно 49F040, можно ли заменить ? И ещё не могу найти кварцевый генератор на 28 Мгц, может кто подскажет где купить.

andykarpov
20.06.2025, 23:46
все что по ногам подходит, по-идее, можно ставить (ромы соотв объема есть в репе):
- 29ee010
- 29ee020
- 29ee040
- 39sf010
- 39sf020
- 39sf040
- 49f010
- 49f020
- 49f040
итп :)

я проверял только с 29ee010, ибо были под рукой.

- - - Добавлено - - -

Гены на 28 МГц брал последний раз на ebay, но лот уже неактивен и новые не находятся. было такое описание: "10PCS SMD Oscillator 4P 4p OSC 28 MHz 28.000M 28M 7050 7mm * 5mm 5070".
Можно поискать на mouser.com / tme.eu / farnell.com итп.

ЗЫ: вот вариант, который можно теоретически заюзать: https://eu.mouser.com/ProductDetail/Renesas-Electronics/XLH736028.000000I
он в нужном корпусе, 3.3В, но выводов 6 штук вместо 4, 2 центральных можно заизолировать и посадить.

Можно купить в другом корпусе и растянуть на соплях (Kalantaj так делал, можно в его видео поискать)