Сделал прям простейший тест счетчика
Код:
module counter (
input wire clk,
output reg [7:0]data
);
always @ (posedge clk)
data <= data + 8'h01;
initial
data = 8'h55;
endmodule
Код:
`timescale 1ms/1ms
module testbench;
reg clk;
wire [7:0] data;
counter TESTCTR(clk, data);
always
#10 clk = ~clk;
initial
begin
clk = 0;
end
initial
$monitor("clk=%b, data=%b", clk, data);
endmodule
1. Как заставить ModelSim запоминать расположение окон? Каждый раз при запуске приходится расставлять размеры и позиции вручную.
2. Почему работает только меню 'Simulation without optimization'? При запуске через 'Simulatioin' или 'Simulation with full Optimization' руается:
''# ** Fatal: Internal Error - vopt returned success but vsim could not find a design to simulate!. Please contact customer support for further assistance.
# Error loading design'
3. Есть ли горячие клавиши на 'Run -Next' и прочие Run'ы?
4. Что означает 'Run 100'?
5. Почему при переносе сигналов из окна Objects в окно Wave у них появляются длинные имена типа testbench/clk? Мне нужны короткие - clk.
https://pic.maxiol.com/images2/17289...d101420240.jpg
[свернуть]