User Tag List

Страница 53 из 81 ПерваяПервая ... 495051525354555657 ... ПоследняяПоследняя
Показано с 521 по 530 из 803

Тема: Реверс-инжиниринг Z80

  1. #521

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Где то когда то что то слышал что: клок можно оставлять в неизменном состоянии только в одном логическом состоянии. Примерно так: клок==0 то все состояния в проце в зафиксированном состоянии, а при ==1 состояние изменяется и не может находится в устойчивом состоянии. Как то вот так - малость мутно мысль моя...

  2. #522

    Регистрация
    08.10.2005
    Адрес
    Москва
    Сообщений
    14,403
    Спасибо Благодарностей отдано 
    1,703
    Спасибо Благодарностей получено 
    2,223
    Поблагодарили
    875 сообщений
    Mentioned
    69 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от AlexG Посмотреть сообщение
    Где то когда то что то слышал что: клок можно оставлять в неизменном состоянии только в одном логическом состоянии. Примерно так: клок==0 то все состояния в проце в зафиксированном состоянии, а при ==1 состояние изменяется и не может находится в устойчивом состоянии.
    Да, оставлять можно только в '1', т.е. внешний инверсный уровень - это '0'.
    Иначе внутренние динамические латчи, сделанные за счет удержания заряда на емкостях затворов, разрядятся и все.

  3. #523

    Регистрация
    20.06.2014
    Адрес
    г. Чехов
    Сообщений
    56
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    30 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Titus Посмотреть сообщение
    В смысле вверх ногами? Чип крутят так, как удобно, у него нет ни верха, ни низа) А то, что надписи на кристалле ориентированны в какую-то сторону, это еще ничего не значит.
    Сорь не ответил.
    Таки у чипа есть "верх" и "низ" - это то, как он сориентирован по отношению к лид фрейму и выводам пекеджа:



    В случае з80 - слева вверху находится CLK (который между прочим в старых даташитах Active High), затем по часовой идут пады A15, A14, и т.д.
    Такой же layout сохраняется и для CMOS версий сабжа.

  4. #524

    Регистрация
    08.10.2005
    Адрес
    Москва
    Сообщений
    14,403
    Спасибо Благодарностей отдано 
    1,703
    Спасибо Благодарностей получено 
    2,223
    Поблагодарили
    875 сообщений
    Mentioned
    69 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Решил все же ознакомиться немного с Verilog'ом.

    Попробовал найти этот бесплатный популярный ModelSim. Оказывается, его нет в свободном доступе.
    Нашел на торрентах какой-то из последних (хотя это 2018 год) modelsim-win64-10.6d-se, посмотрю, что это. Если это вообще то.

  5. #525

    Регистрация
    20.06.2014
    Адрес
    г. Чехов
    Сообщений
    56
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    30 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Попробуй Icarus Verilog.
    https://bleyer.org/icarus/

  6. #526

    Регистрация
    08.10.2005
    Адрес
    Москва
    Сообщений
    14,403
    Спасибо Благодарностей отдано 
    1,703
    Спасибо Благодарностей получено 
    2,223
    Поблагодарили
    875 сообщений
    Mentioned
    69 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от org Посмотреть сообщение
    Попробуй Icarus Verilog.
    https://bleyer.org/icarus/
    Я уже начал ModelSim пробовать. Тем более, как я понял, он самый популярный.

  7. #526
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #527

    Регистрация
    08.10.2005
    Адрес
    Москва
    Сообщений
    14,403
    Спасибо Благодарностей отдано 
    1,703
    Спасибо Благодарностей получено 
    2,223
    Поблагодарили
    875 сообщений
    Mentioned
    69 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Оказалось, сходу так не войдешь в мир Verilog и ModelSim) Сразу то тут непонятно, то сям)

    Понятно, что можно проштудировать кучу док, и постепенно во все вьехать, но не хочется тратить на старте много времени.

    Несколько таких быстрых вопросов по ModelSim:

    Скрытый текст


    Сделал прям простейший тест счетчика

    Код:
    module counter (
      input wire clk,
      output reg [7:0]data
    );
    
     always @ (posedge clk)
      
        data <= data + 8'h01;
    
    	initial
    		data = 8'h55;
    
    endmodule
    Код:
    `timescale 1ms/1ms
    
    module testbench;
    
    	reg clk;
    	wire [7:0] data;
    
    	counter TESTCTR(clk, data);
    
    	always
      		#10 clk = ~clk;
    
    	initial
    		begin
      			clk = 0;
    		end
    
    
    	initial
    		$monitor("clk=%b, data=%b", clk, data);
    
    
    endmodule

    1. Как заставить ModelSim запоминать расположение окон? Каждый раз при запуске приходится расставлять размеры и позиции вручную.
    2. Почему работает только меню 'Simulation without optimization'? При запуске через 'Simulatioin' или 'Simulation with full Optimization' руается:

    ''# ** Fatal: Internal Error - vopt returned success but vsim could not find a design to simulate!. Please contact customer support for further assistance.
    # Error loading design'

    3. Есть ли горячие клавиши на 'Run -Next' и прочие Run'ы?
    4. Что означает 'Run 100'?

    5. Почему при переносе сигналов из окна Objects в окно Wave у них появляются длинные имена типа testbench/clk? Мне нужны короткие - clk.



    [свернуть]

  9. #528

    Регистрация
    20.06.2014
    Адрес
    г. Чехов
    Сообщений
    56
    Спасибо Благодарностей отдано 
    8
    Спасибо Благодарностей получено 
    61
    Поблагодарили
    30 сообщений
    Mentioned
    9 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    оффтоп же.

    По верилогу рекомендую книгу Соловьёва.
    "Основы языка проектирования цифровой аппаратуры Verilog | Соловьев Валерий Васильевич"

    Я уже начал ModelSim пробовать. Тем более, как я понял, он самый популярный.
    для икаруса кроме блокнота для набора верилога больше ничего не нужно. В состав входит GTKWave, для просмотра .vcd дампов.

    ModelSim и подобные (Quartus, PlanAhead/Vivado) - это монструозные комбайны на несколько гигабайт.
    Последний раз редактировалось org; 14.10.2024 в 21:41.

    Эти 2 пользователя(ей) поблагодарили org за это полезное сообщение:

    Deadly(15.10.2024), Titus(14.10.2024)

  10. #529

    Регистрация
    08.10.2005
    Адрес
    Москва
    Сообщений
    14,403
    Спасибо Благодарностей отдано 
    1,703
    Спасибо Благодарностей получено 
    2,223
    Поблагодарили
    875 сообщений
    Mentioned
    69 Post(s)
    Tagged
    1 Thread(s)

    По умолчанию

    Цитата Сообщение от org Посмотреть сообщение
    ModelSim и подобные (Quartus, PlanAhead/Vivado) - это монструозные комбайны на несколько гигабайт.
    Это я уже понял) Но раз уж он пользуется такой популярностью, решил начинать с него.

  11. #530

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    ModelSim к сожалению ничего не подскажу. лет 10 назад пробовал использовать. С тех пор у вивады свой симулятор - не скажу что прелесть - но на мои нужды хватает. Другими то же не пользовался. (я не фанатичный фанат "свободного софта"). В программах симуляции надо быть внимательным с точки зрения "где код, для которого можно выполнить синтез, а где код только для симуляции". Иначе можно создать "сферического коня".

    - - - Добавлено - - -

    В догонку.
    1 - возможно есть "кнопка" сохранить wavewindow.cfg
    2 - хз
    3 горячие кноки кажется были - надо хелп смотреть или настройки
    4 'Run 100' кажется время выполнения моделирования в единицах us (гдето в настройках было).
    пс
    давно это было

    пспс: конечно можно ограничиться только моделированием, но (моё имхо) лучше совмещать реализацию и симуляцию
    Последний раз редактировалось AlexG; 14.10.2024 в 23:06.

    Эти 2 пользователя(ей) поблагодарили AlexG за это полезное сообщение:

    Deadly(15.10.2024), Titus(14.10.2024)

Страница 53 из 81 ПерваяПервая ... 495051525354555657 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 1739
    Последнее: 09.01.2025, 10:55
  2. Ответов: 32
    Последнее: 18.12.2024, 18:19
  3. Реверс-инжиниринг игры Boovie
    от Oleg N. Cher в разделе Программирование
    Ответов: 41
    Последнее: 09.01.2022, 23:07
  4. Реверс МК-92
    от Случайность в разделе Программируемые калькуляторы
    Ответов: 55
    Последнее: 24.04.2021, 23:47
  5. Реверс инжиниринг печатной платы
    от Filin в разделе Несортированное железо
    Ответов: 36
    Последнее: 11.03.2018, 22:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •