Сообщение от Ewgeny7 Чего??? T80s: DI : in std_logic_vector(7 downto 0); DO : out std_logic_vector(7 downto 0); Э-э... Того! ))) Скачал и не посмотрел? Сообщение от Ewgeny7 - - - Добавлено - - - или ты про асинхронный вариант с двунаправленной шиной T80a? Так оно так и должно быть Таки да, t80a. Я в своей разделил для прямой замены.
Последний раз редактировалось omercury; 06.09.2018 в 23:02.
Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)
Правила форума