User Tag List

Страница 20 из 33 ПерваяПервая ... 161718192021222324 ... ПоследняяПоследняя
Показано с 191 по 200 из 330

Тема: Любительская девборда на Z80

  1. #191

    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    10,141
    Спасибо Благодарностей отдано 
    216
    Спасибо Благодарностей получено 
    769
    Поблагодарили
    417 сообщений
    Mentioned
    23 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Продолжая начатую тему в этом посте http://zx-pk.ru/showpost.php?p=578643&postcount=147 , где в pdf- ке была эквивалентная схема синхрогенератора.
    Вот нарисовал приблизительную схему формирования текстового режима (см. вложение).

    Опишу то, как я это вижу. По сути у меня синхронная схема доступа к памяти. Память работает как бы в турбо режиме (6,25МГц). Память разделена на два банка, четный байт и нечетный. Сигнал H1(6,25МГц) как и в Спеке отвечает за доступ к памяти H1 =0 - обращается процессор, H1 =1 обращается видеоконтроллер.
    Сигнал H2(3,125 МГц) отвечает за считывание видеоданных. А именно, при H2 =0 считывается код символа(четный байт) и его цвет (нечетный байт).
    При H2 =1 происходит считывания видеоинформации из знакогенератора.

    Так, как память как бы линейна, т.е. вторая строка текста идет непосредственно за первой, то пришлось применить сумматоры. Но вроде получилось все изящно

    На картинке как бы теоретически выглядят некоторые сигналы.



    Вобщем смотрите, желательно поразбираться в схеме. Вдруг что упустил

    И еще вот небольшая табличка раскладки текстовых строк
    Код:
    
     V8 V7 V6 V5 V4 H9 H8 H7 H6 H5 H4 H3                     VA11 VA10 VA9 VA8  VA7 VA6 VA5 VA4  VA3 VA2 VA1 VA0 
     0  0  0  0  0  0  0  0  0  0  0  0  - 1  строка 000h ->  0    0    0   0    0   0   0   0    0   0   0   0
     0  0  0  0  1  0  0  0  0  0  0  0  - 2  строка 0A0h ->  0    0    0   0    1   0   1   0    0   0   0   0
     0  0  0  1  0  0  0  0  0  0  0  0  - 3  строка 140h ->  0    0    0   1    0   1   0   0    0   0   0   0
     0  0  0  1  1  0  0  0  0  0  0  0  - 4  строка 1E0h ->  0    0    0   1    1   1   1   0    0   0   0   0
     0  0  1  0  0  0  0  0  0  0  0  0  - 5  строка 280h ->  0    0    1   0    1   0   0   0    0   0   0   0
     0  0  1  0  1  0  0  0  0  0  0  0  - 6  строка 320h ->  0    0    1   1    0   0   1   0    0   0   0   0
     0  0  1  1  0  0  0  0  0  0  0  0  - 7  строка 3C0h ->  0    0    1   1    1   1   0   0    0   0   0   0
     0  0  1  1  1  0  0  0  0  0  0  0  - 8  строка 460h ->  0    1    0   0    0   1   1   0    0   0   0   0
     0  1  0  0  0  0  0  0  0  0  0  0  - 9  строка 500h ->  0    1    0   1    0   0   0   0    0   0   0   0
     0  1  0  0  1  0  0  0  0  0  0  0  - 10 строка 5A0h ->  0    1    0   1    1   0   1   0    0   0   0   0
     0  1  0  1  0  0  0  0  0  0  0  0  - 11 строка 640h ->  0    1    1   0    0   1   0   0    0   0   0   0
     0  1  0  1  1  0  0  0  0  0  0  0  - 12 строка 6E0h ->  0    1    1   0    1   1   1   0    0   0   0   0
     0  1  1  0  0  0  0  0  0  0  0  0  - 13 строка 780h ->  0    1    1   1    1   0   0   0    0   0   0   0
     0  1  1  0  1  0  0  0  0  0  0  0  - 14 строка 820h ->  1    0    0   0    0   0   1   0    0   0   0   0
     0  1  1  1  0  0  0  0  0  0  0  0  - 15 строка 8C0h ->  1    0    0   0    1   1   0   0    0   0   0   0
     0  1  1  1  1  0  0  0  0  0  0  0  - 16 строка 960h ->  1    0    0   1    0   1   1   0    0   0   0   0
     1  0  0  0  0  0  0  0  0  0  0  0  - 17 строка A00h ->  1    0    1   0    0   0   0   0    0   0   0   0
     1  0  0  0  1  0  0  0  0  0  0  0  - 18 строка AA0h ->  1    0    1   0    1   0   1   0    0   0   0   0
     1  0  0  1  0  0  0  0  0  0  0  0  - 19 строка B40h ->  1    0    1   1    0   1   0   0    0   0   0   0
     1  0  0  1  1  0  0  0  0  0  0  0  - 20 строка BE0h ->  1    0    1   1    1   1   1   0    0   0   0   0
     1  0  1  0  0  0  0  0  0  0  0  0  - 21 строка C80h ->  1    1    0   0    1   0   0   0    0   0   0   0
     1  0  1  0  1  0  0  0  0  0  0  0  - 22 строка D20h ->  1    1    0   1    0   0   1   0    0   0   0   0
     1  0  1  1  0  0  0  0  0  0  0  0  - 23 строка DC0h ->  1    1    0   1    1   1   0   0    0   0   0   0
     1  0  1  1  1  0  0  0  0  0  0  0  - 24 строка E60h ->  1    1    1   0    0   1   1   0    0   0   0   0
     1  1  0  0  0  0  0  0  0  0  0  0  - 25 строка F00h ->  1    1    1   1    0   0   0   0    0   0   0   0
    
    
     V8 V7 V6 V5 V4 H9 H8
    +
     0  0  V8 V7 V6 V5 V4                    VA11 VA10 VA9 VA8  VA7 VA6 VA5
    
     0  0  0  0  0  0  0  
     0  0  0  0  0  0  0  - 1  строка 000h ->  0   0    0   0    0   0   0   
    
     0  0  0  0  1  0  0  
     0  0  0  0  0  0  1  - 2  строка 0A0h ->  0   0    0   0    1   0   1   
    
     0  0  0  1  0  0  0  
     0  0  0  0  0  1  0  - 3  строка 140h ->  0   0    0   1    0   1   0   
    
     0  0  0  1  1  0  0  
     0  0  0  0  0  1  1  - 4  строка 1E0h ->  0   0    0   1    1   1   1   
    
     0  0  1  0  0  0  0  
     0  0  0  0  1  0  0  - 5  строка 280h ->  0   0    1   0    1   0   0   
    
     0  0  1  0  1  0  0  
     0  0  0  0  1  0  1  - 6  строка 320h ->  0   0    1   1    0   0   1   
    
     0  0  1  1  0  0  0  
     0  0  0  0  1  1  0  - 7  строка 3C0h ->  0   0    1   1    1   1   0   
    
     0  0  1  1  1  0  0  
     0  0  0  0  1  1  1  - 8  строка 460h ->  0   1    0   0    0   1   1   
    
     0  1  0  0  0  0  0  
     0  0  0  1  0  0  0  - 9  строка 500h ->  0   1    0   1    0   0   0   
    
     0  1  0  0  1  0  0  
     0  0  0  1  0  0  1  - 10 строка 5A0h ->  0   1    0   1    1   0   1   
    
     0  1  0  1  0  0  0  
     0  0  0  1  0  1  0  - 11 строка 640h ->  0   1    1   0    0   1   0 
    
     0  1  0  1  1  0  0  
     0  0  0  1  0  1  1  - 12 строка 6E0h ->  0   1    1   0    1   1   1   
      
     0  1  1  0  0  0  0  
     0  0  0  1  1  0  0  - 13 строка 780h ->  0   1    1   1    1   0   0 
    
     0  1  1  0  1  0  0  
     0  0  0  1  1  0  1  - 14 строка 820h ->  1   0    0   0    0   0   1   
      
     0  1  1  1  0  0  0  
     0  0  0  1  1  1  0  - 15 строка 8C0h ->  1   0    0   0    1   1   0   
    
     0  1  1  1  1  0  0  
     0  0  0  1  1  1  1  - 16 строка 960h ->  1   0    0   1    0   1   1   
    
     1  0  0  0  0  0  0  
     0  0  1  0  0  0  0  - 17 строка A00h ->  1   0    1   0    0   0   0
    
     1  0  0  0  1  0  0  
     0  0  1  0  0  0  1  - 18 строка AA0h ->  1   0    1   0    1   0   1
    
     1  0  0  1  0  0  0  
     0  0  1  0  0  1  0  - 19 строка B40h ->  1   0    1   1    0   1   0   
    
     1  0  0  1  1  0  0  
     0  0  1  0  0  1  1  - 20 строка BE0h ->  1   0    1   1    1   1   1
    
     1  0  1  0  0  0  0  
     0  0  1  0  1  0  0  - 21 строка C80h ->  1   1    0   0    1   0   0   
    
     1  0  1  0  1  0  0  
     0  0  1  0  1  0  1  - 22 строка D20h ->  1   1    0   1    0   0   1   
    
     1  0  1  1  0  0  0  
     0  0  1  0  1  1  0  - 23 строка DC0h ->  1   1    0   1    1   1   0   
    
     1  0  1  1  1  0  0  
     0  0  1  0  1  1  1  - 24 строка E60h ->  1   1    1   0    0   1   1   
    
     1  1  0  0  0  0  0  
     0  0  1  1  0  0  0  - 25 строка F00h ->  1   1    1   1    0   0   0
    Последний раз редактировалось Mick; 17.07.2013 в 11:35.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  2. #192

    Регистрация
    19.11.2012
    Адрес
    г. Луганск
    Сообщений
    698
    Спасибо Благодарностей отдано 
    29
    Спасибо Благодарностей получено 
    83
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Mick а как вы паяли центральную микросхему с тонкими выводами, пардон за нубский вопрос, давно отошел уже от этого.

  3. #193

    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    10,141
    Спасибо Благодарностей отдано 
    216
    Спасибо Благодарностей получено 
    769
    Поблагодарили
    417 сообщений
    Mentioned
    23 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от PATHNK Посмотреть сообщение
    Mick а как вы паяли центральную микросхему с тонкими выводами, пардон за нубский вопрос, давно отошел уже от этого.

    Короче беру паяльник с тонким жалом, сначала аккуратно припаиваю крайние ножки, чтобы держалась вся микруха. Затем я просто очищаю многожильный провод мгтф от оплетки. Немного жидкого флюса на ножки микросхемы, прикладываю провод поперек ножек и просто прикладываю жало с капелькой припоя к проводку. Тем самым и прогреваю и припой растекается по ножкам, а провод не дает образовываться соплям. Где то так.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  4. #194

    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    365
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    что это за мистический порт бордера, неужели того самого бордера от спектрума ? )
    если так - то ненужен он имхо - забудьте уже про него ).

  5. #195

    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    10,141
    Спасибо Благодарностей отдано 
    216
    Спасибо Благодарностей получено 
    769
    Поблагодарили
    417 сообщений
    Mentioned
    23 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Наконец то получил недостающие детали и собрал до конца плату. Ну теперь надо писать прошивки.

    Фото можно посмотреть тут http://micklab.narod.ru/devboardz80.htm
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  6. #196

    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    10,141
    Спасибо Благодарностей отдано 
    216
    Спасибо Благодарностей получено 
    769
    Поблагодарили
    417 сообщений
    Mentioned
    23 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Все вернулся я в проект. Начал описывать на VHDL синхрогенератор.
    Кто силен в сием языке проверьте правильно ли я описал сам процесс формирования сигналов синхрогенератора.

    Код:
    library IEEE;
    use IEEE.std_logic_1164.all;
    use IEEE.std_logic_unsigned.all;
    
    entity Devboard_z80 is
        port(
      
      -- Входная тактовая частота CLK
            CLK_25MHZ : in std_logic;
    
      -- Сигналы управления RAM памятью
            MA      : out std_logic_vector(18 downto 0) := "0000000000000000000";
            MDL     : inout std_logic_vector(7 downto 0) := "ZZZZZZZZ";
            MDH     : inout std_logic_vector(7 downto 0) := "ZZZZZZZZ";
    
            WR_RAM_L : out std_logic := '1';
            WR_RAM_H : out std_logic := '1';
    
      -- Выходные сигналы видео формирователя
            VD      : out std_logic_vector(5 downto 0) := "000000";
            HS  : out std_logic := '1';     
            VS  : out std_logic := '0';     
            
      -- Сигналы управления ROM памятью
      ROM_A11 : out std_logic := '0';
      ROM_A12 : out std_logic := '0';
      ROM_A13 : out std_logic := '0';
      ROM_A14 : out std_logic := '0';
      ROM_A15 : out std_logic := '0';
      ROM_A16 : out std_logic := '0';
      ROM_A17 : out std_logic := '0';
      ROM_A18 : out std_logic := '0';
            CS_ROM  : out std_logic := '1';
    
      -- Сигналы управления с CPU
            CA      : in std_logic_vector(15 downto 0);
            CD      : inout std_logic_vector(7 downto 0) := "ZZZZZZZZ";
    
            C_CLK   : out std_logic := '1';
            C_IORQ  : in std_logic;
            C_MREQ  : in std_logic;
            C_M1    : in std_logic;
            C_RD  : in std_logic;
            C_WR  : in std_logic;
    
      -- Общие сигналы
            C_RESET : in std_logic;
            C_IORQGE : in std_logic;
            C_INT   : out std_logic := '1';
            CS_DEV  : out std_logic := '0';
    
      -- Сигналы взаимодействия с AVR микроконтроллером
            CS_AVR  : out std_logic := '1';
            C_MOSI  : in std_logic;
            C_SCK   : in std_logic;
            C_MISO  : out std_logic := '1';
            
      -- Сигналы SD Card
            SD_CS   : out std_logic := '1';
            SD_SCK  : out std_logic := '1';
            SD_MOSI : out std_logic := '1';
            SD_MISO : in std_logic
            );
    end Devboard_z80;
    
    architecture rtl of Devboard_z80 is
    
      signal Sync_count : std_logic_vector(2 downto 0); -- 3-х разрядный счетчик, сигналы H0, H1, H2 
      signal HSync_count  : std_logic_vector(6 downto 0); -- 7-и разрядный счетчик строчной разверкти, сигналы H3..H9 
      signal VSync_count  : std_logic_vector(8 downto 0); -- 9-и разрядный счетчик кадровый развертки, сигналы V0..V8
      signal HBlank   : std_logic; -- сигнал гашения видеосигнала строчный
      signal VBlank   : std_logic; -- сигнал гашения видеосигнала кадровый
      signal HBorder    : std_logic; -- сигнал строчного бордера
      signal VBorder    : std_logic; -- сигнал кадрового бордера
      signal HSync    : std_logic; -- строчный синхроимпульс
      signal VSync    : std_logic; -- кадровый синхроимпульс
    
      -- Управляющие сигналы
      signal IO_RD      : std_logic := '1'; -- чтение из портов
      signal IO_WR      : std_logic := '1'; -- запись в порты
    
        signal ROM_RD     : std_logic := '1'; -- чтение из ПЗУ
      signal ROM_WR     : std_logic := '1'; -- запись в ПЗУ
        
        signal AVR_SEL    : std_logic := '1'; -- выбор AVR
      signal ROM_SEL    : std_logic := '1'; -- выбор ПЗУ
    
      -- Регистр бордера
      signal Border_Color_r : std_logic_vector(3 downto 0) := "0000";
    
      -- Регистр страниц памятью
      signal Video_Page_r : std_logic_vector(2 downto 0) := "000";
      signal EnRAM_r  : std_logic := '0'; -- Блокировка ОЗУ в 0000...3FFFh
      signal EnROM_r  : std_logic := '0'; -- Блокировка ПЗУ
      signal RMode_r  : std_logic := '0'; -- Режим отображения страниц памяти
      signal EWROM_r  : std_logic := '0'; -- Разрешение записи в FLASH ROM
      
      -- Регистр страниц микросхемы ROM (64 страницы по 16кб)
      signal RAM_PAGE_r : std_logic_vector(5 downto 0) := "000000";
      
      -- Регистр страниц микросхемы ROM (64 страницы по 8кб)
      signal ROM_PAGE_r : std_logic_vector(5 downto 0) := "000000";
      
    
    begin
      ------------------------------------------------------------------------------------
      --        Синхрогенератор
      ------------------------------------------------------------------------------------
      -- Clock frequency 25.175 MHz
      --      "640 x 400 VGA text"
      -- Line  frequency 31469 Hz
      -- Field frequency 70.086 Hz
      -- Sync polarity: H negative, V positive
      -- Scan type: non interlaced.
      ------------------------------------------------------------------------------------
        -- Системные сигналы
      ------------------------------------------------------------------------------------
      Sync_system : process(CLK_25MHZ,C_RESET)
                      begin
                 if C_RESET = '0' then
                    Sync_count <= (others => '0');
                 elsif (CLK_25MHZ'event and CLK_25MHZ = '0') then
                           Sync_count <= Sync_count + '1'; 
                       end if;             
                 end process Sync_system;
      ------------------------------------------------------------------------------------
        -- Строчная развертка
      ------------------------------------------------------------------------------------
      --       One line:
      --   8 pixels front porch
      --  96 pixels horizontal sync
      --  40 pixels back porch
      --   8 pixels left border
      -- 640 pixels video
      --   8 pixels right border
      ------------------------------------------------------------------------------------
      -- 800 pixels total per line  
      ------------------------------------------------------------------------------------
      --  Реализация
      ------------------------------------------------------------------------------------
      --   80 видимая часть
      --    1 правый бордер
      --    1 начало гасящего импульса
      --   12 строчный импульс
      --    5 конец гасящего импульса
      --    1 левый бордер
        --    =
      --  100 сброс счетчиков строчной развертки
      ------------------------------------------------------------------------------------
      Horizonal_sync : process(CLK_25MHZ,C_RESET)
                         begin
                   if C_RESET = '0' then
                      HSync_count <= (others => '0');
                   elsif (CLK_25MHZ'event and CLK_25MHZ = '0') then
                             if (Sync_count(2)'event and Sync_count(2) = '0') then
     
                                 if HSync_count = 100 then
                                    HSync_count <= (others => '0');
                                 else 
                                    HSync_count <= HSync_count + '1'; 
                                 end if;
    
                                 if HSync_count >= 80 then
                                    HBorder <= '0';
                   else 
                                    HBorder <= '1';
                                 end if;  
    
                                 if (HSync_count >= 82 and HSync_count < 94) then
                                    HSync <= '0';
                   else 
                                    HSync <= '1';
                                 end if;  
    
                                 if (HSync_count >= 81 and HSync_count < 99) then
                                    HBlank <= '0';
                   else
                                    HBlank <= '1';
                                 end if;  
    
                               end if;
                           end if;             
                 end process Horizonal_sync;
      ------------------------------------------------------------------------------------
            -- Кадровая развертка
      ------------------------------------------------------------------------------------
      --       One field:
      --   5 lines front porch
      --   2 lines vertical sync
      --  28 lines back porch
      --   7 lines top border
      -- 400 lines video
      --   7 lines bottom border
      ------------------------------------------------------------------------------------
      -- 449 lines total per field                                        
      ------------------------------------------------------------------------------------
      --  Реализация
      ------------------------------------------------------------------------------------
      --  400 видимая часть
      --    7 нижний бордер
      --    5 начало гасящего импульса
      --    2 кадровый импульс
      --   28 конец гасящего импульса
      --    7 верхний бордер
          --    =
      --  449 сброс счетчиков строчной развертки
      ------------------------------------------------------------------------------------
      Vertical_sync : process(CLK_25MHZ,C_RESET)
                        begin
                   if C_RESET = '0' then
                      VSync_count <= (others => '0');
                   elsif (CLK_25MHZ'event and CLK_25MHZ  = '0') then
                             if (HSync_count(6)'event and HSync_count(6) = '0') then
     
                                 if VSync_count = 449 then
                                     VSync_count <= (others => '0');
                                 else 
                                     VSync_count <= VSync_count + '1'; 
                                 end if;
    
                                 if VSync_count >= 400 then
                                     VBorder <= '0';
                   else 
                                     VBorder <= '1';
                                 end if;  
    
                                 if (VSync_count >= 412 and VSync_count < 414) then
                                    VSync <= '0';
                   else 
                                    VSync <= '1';
                                 end if;  
    
                                 if (VSync_count >= 407 and VSync_count < 442) then
                                    VBlank <= '0';
                   else
                                    VBlank <= '1';
                                 end if;  
    
                               end if;
                           end if;             
                 end process Vertical_sync;
    
    end;
    За основу брал схему http://zx-pk.ru/showpost.php?p=578643&postcount=147
    Если быть точнее счетчики 3 разряда - 7 разрядов - 9 разрядов
    Последний раз редактировалось Mick; 15.07.2013 в 14:09.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  7. #196
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  8. #197

    Регистрация
    27.03.2005
    Адрес
    CПб
    Сообщений
    711
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Такое компилятор не осилит:
    Код:
                   elsif (CLK_25MHZ'event and CLK_25MHZ = '0') then
                             if (Sync_count(2)'event and Sync_count(2) = '0') then

  9. #198

    Регистрация
    14.06.2005
    Адрес
    г. Калуга
    Сообщений
    10,141
    Спасибо Благодарностей отдано 
    216
    Спасибо Благодарностей получено 
    769
    Поблагодарили
    417 сообщений
    Mentioned
    23 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Blade Посмотреть сообщение
    Такое компилятор не осилит:
    Код:
                   elsif (CLK_25MHZ'event and CLK_25MHZ = '0') then
                             if (Sync_count(2)'event and Sync_count(2) = '0') then
    Квартус при компиляции не ругался.
    Хорошо, ну а как тогда этот счетчик описать правильнее будет. Только чур не объединять счетчики в одну кучу.
    Миниатюры Миниатюры Нажмите на изображение для увеличения. 

Название:	counters.jpg 
Просмотров:	236 
Размер:	20.9 Кб 
ID:	42453  
    Последний раз редактировалось Mick; 15.07.2013 в 15:33.
    Сайт поддержки моих изделий - http://micklab.ru/
    Группа ВКонтакте - https://vk.com/micklab

  10. #199

    Регистрация
    31.03.2008
    Адрес
    Москва
    Сообщений
    735
    Спасибо Благодарностей отдано 
    10
    Спасибо Благодарностей получено 
    80
    Поблагодарили
    37 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Mick, компилятор не сругнется, но работать такое не будет.

    if sync_count = 7 - вот так надо
    ZXM-Phoenix rev.01 2048K, VG93 hw emulator

  11. #200

    Регистрация
    27.03.2005
    Адрес
    CПб
    Сообщений
    711
    Спасибо Благодарностей отдано 
    0
    Спасибо Благодарностей получено 
    3
    Поблагодарили
    3 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Примерно так:
    Код:
                   elsif (CLK_25MHZ'event and CLK_25MHZ = '1') then
                             if (Sync_count = 7) then 
    
                                 if HSync_count = 99 then
                                    HSync_count <= (others => '0');
    Код:
                   elsif (CLK_25MHZ'event and CLK_25MHZ  = '1') then
                             if (HSync_count = 99 and Sync_count= 7) then 
    
                                 if VSync_count = 448 then
                                     VSync_count <= (others => '0');

Страница 20 из 33 ПерваяПервая ... 161718192021222324 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Прикольная девборда... для спека в самый раз :)
    от ILoveSpeccy в разделе Несортированное железо
    Ответов: 12
    Последнее: 15.08.2008, 07:06

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •