User Tag List

Показано с 1 по 10 из 137

Тема: Реинкарнация Вектор ПК-6128ц

Древовидный режим

Предыдущее сообщение Предыдущее сообщение   Следующее сообщение Следующее сообщение
  1. #10

    Регистрация
    06.02.2018
    Адрес
    г. Волгоград
    Сообщений
    1,064
    Спасибо Благодарностей отдано 
    581
    Спасибо Благодарностей получено 
    469
    Поблагодарили
    252 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Вот такая временная замена РЕ3 получилась:


    Прошивка для EPM3256

    Выполнена на базе "ускоренного" варианта, код на VHDL:
    Код:
    library IEEE;
    use IEEE.STD_LOGIC_1164.all;
    
    entity RE3 is
    	port
    	(
    	  address: in std_logic_vector(4 downto 0);
    		q: out std_logic_vector(7 downto 0);
    		ledin: in std_logic;
    		led: out std_logic
    	);
    end RE3;
    
    architecture behaviour of RE3 is
    begin
    	process(address, ledin)
    	begin
    		case address is
    			when "00000" => q <= "01111110";
    			when "00001" => q <= "01111100";
    			when "00010" => q <= "01110100";
    			when "00011" => q <= "01110000";
    			when "00100" => q <= "01010000";
    			when "00101" => q <= "11110100";
    			when "00110" => q <= "10110001";
    			when "00111" => q <= "11110011";
    			when "01000" => q <= "01101111";
    			when "01001" => q <= "01101101";
    			when "01010" => q <= "01100100";
    			when "01011" => q <= "01100000";
    			when "01100" => q <= "01100000";
    			when "01101" => q <= "01100000";
    			when "01110" => q <= "01100000";
    			when "01111" => q <= "01100010";
    			
    			when "10000" => q <= "11101111";
    			when "10001" => q <= "11101101";
    			when "10010" => q <= "11100100";
    			when "10011" => q <= "11100000";
    			when "10100" => q <= "11100000";
    			when "10101" => q <= "11100000";
    			when "10110" => q <= "11100001";
    			when "10111" => q <= "11100011";
    			when "11000" => q <= "01101111";
    			when "11001" => q <= "01101101";
    			when "11010" => q <= "01100100";
    			when "11011" => q <= "01100000";
    			when "11100" => q <= "01100000";
    			when "11101" => q <= "01100000";
    			when "11110" => q <= "01100001";
    			when "11111" => q <= "11100011";
    	 		when others => null;
    		end case;
    		led <= ledin;	
    	end process;	
    
    end behaviour;
    [свернуть]
    Пока что проблем с работой нет -- сигналы формируются хорошо (судя по показаниям осциллографа), память тестируется без ошибок, тест техпрогона запускается...

    Эксперименты с GAL отложил в связи с их отсутствием у меня в данный момент.

    Эти 3 пользователя(ей) поблагодарили Improver за это полезное сообщение:

    parallelno(12.12.2023), svofski(12.12.2023), thetrik(13.12.2023)

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 2 (пользователей: 0 , гостей: 2)

Похожие темы

  1. ПК-6128Ц: Обсуждение
    от falanger в разделе Вектор
    Ответов: 318
    Последнее: 20.10.2025, 16:44
  2. Ответов: 56
    Последнее: 18.11.2023, 02:54
  3. ATM Turbo - РЕИНКАРНАЦИЯ
    от eXzmos в разделе ATM
    Ответов: 55
    Последнее: 01.10.2022, 21:15
  4. "ВЕКТОР ПК-6128Ц" - хотелось бы узнать побольше.
    от derfliegenddehollander в разделе Вектор
    Ответов: 19
    Последнее: 31.07.2022, 19:47
  5. ПК-6128Ц: воссоздание платы
    от sh686 в разделе Вектор
    Ответов: 6
    Последнее: 20.06.2014, 03:08

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •