Код:
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity RE3 is
port
(
address: in std_logic_vector(4 downto 0);
q: out std_logic_vector(7 downto 0);
ledin: in std_logic;
led: out std_logic
);
end RE3;
architecture behaviour of RE3 is
begin
process(address, ledin)
begin
case address is
when "00000" => q <= "01111110";
when "00001" => q <= "01111100";
when "00010" => q <= "01110100";
when "00011" => q <= "01110000";
when "00100" => q <= "01010000";
when "00101" => q <= "11110100";
when "00110" => q <= "10110001";
when "00111" => q <= "11110011";
when "01000" => q <= "01101111";
when "01001" => q <= "01101101";
when "01010" => q <= "01100100";
when "01011" => q <= "01100000";
when "01100" => q <= "01100000";
when "01101" => q <= "01100000";
when "01110" => q <= "01100000";
when "01111" => q <= "01100010";
when "10000" => q <= "11101111";
when "10001" => q <= "11101101";
when "10010" => q <= "11100100";
when "10011" => q <= "11100000";
when "10100" => q <= "11100000";
when "10101" => q <= "11100000";
when "10110" => q <= "11100001";
when "10111" => q <= "11100011";
when "11000" => q <= "01101111";
when "11001" => q <= "01101101";
when "11010" => q <= "01100100";
when "11011" => q <= "01100000";
when "11100" => q <= "01100000";
when "11101" => q <= "01100000";
when "11110" => q <= "01100001";
when "11111" => q <= "11100011";
when others => null;
end case;
led <= ledin;
end process;
end behaviour;