Первый вариант работает, но - с иголками-провалами.
Второй вариант - не работает, на выходе всегда 0.
Вид для печати
Блин.... У тебя нет сетевой карты на компе?
По поводу делителя - абстрагируйся от микросхем.
Напиши код другого толка:
1. Счетчик, считает до двух, далее сбрасывается. Итого имеем три состояния - 0,1,2.
2. Сигнал выхода инвертируется с каждым сбросом счетчика.
Вот и весь делитель.
Код под спойлером.
Скрытый текст
Код:library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_unsigned.all;
entity test is
port(
clk : in std_logic;
q_out : out std_logic);
end test;
architecture st of test is
signal cnt : std_logic_vector (1 downto 0);
signal q : std_logic;
begin
process (clk)
begin
if (falling_edge(clk)) then
if (cnt=2) then
cnt <= "00";
q <= not q;
else
cnt <= cnt+1;
end if;
end if;
end process;
q_out <= q;
end st;
[свернуть]
http://savepic.org/6309885.png
Да у меня несколько другое пишет....
Попробуй запустить программатор, не будет ли ругаться?
На всякий случай - файл лицензии под твои нули - https://yadi.sk/d/xATd0004cMuCd
Ай блин, да.... :)
---------- Post added at 14:35 ---------- Previous post was at 14:32 ----------
Можно. Рисует аккуратные жоппы :)
http://savepic.org/6348553.png
---------- Post added at 14:36 ---------- Previous post was at 14:35 ----------
Вроде как всё в порядке...
Скрытый текст
Рисует аккуратный меандр, но деление идет на четыре, а не на три.
---------- Post added at 19:50 ---------- Previous post was at 19:48 ----------
http://savepic.org/6312749.png
Маленькое пожелание (напутствие):Цитата:
Сообщение от Viktor2312
1) желательно не размещать "проекты" в каталогах в именах которых есть пробелы, русские буквы.
2) исключить использование русских букв в vhdl (в частности в комментариях).
3) Isim достаточно прост. Для того чтобы посмотреть что "получилось" достаточно "клыкать" мышой по "иконкам". "Самое интересное" это написать правильный testbench.
4) начиная с какой-то версии ISE (толи 12 толи 13) isim не умеет "симулировать" спартан-3 в части microblaze. Так было задумано "Автором".
ps: сам я "сижу" на вивадо + верилог (это доп. деятельность по работе).
5) Основная "проблема" у xilinx - это то что он направлен на "топ" разработки (типа обработки изображения, связь, итд) и как следствие микросхемы выпускаются в BGA корпусе и до кучи "родной" программатор стоит "безумных денег" (300-500$)/ Однако есть аналоги за 3тр. (примерно). Или есть еще мини-юсб от дигилента.
6) пункт 5) относится и к altere.
7) если надо что-то специальное сотворить на плисе (типа очень маленькое или батарейное питание итд) есть ряд других фирм - но там свои "заморочки".
1) однако Ваши примеры "установлены" в путях с пробелами.
2) MicroBlaze (с вещественной арифметикой) + контроллер SDRAM + EPC + UART + I2C + gpio + BRAM + таймер + контроллер прерываний = влезло в спартан-3е 500 (подзавязку).. Это был самый "толстый" кристалл в "планарном" корпусе.
3) "двух микросхемах, умещающийся в корпусе LPT разъёма" = опять же начиная с какой-то версии ISE (и / или новых видов плис) он уже не поддерживается. Из-за низкой скорости и не возможности работать при низком напряжении новых плис и др. (кажется такая была "официальная" версия).
Device Utilization Summary [-]
Logic Utilization Used Available Utilization Note(s)
Number of Slice Flip Flops 5,357 9,312 57%
Number of 4 input LUTs 8,719 9,312 93%
Number of occupied Slices 4,652 4,656 99%
Total Number of 4 input LUTs 8,976 9,312 96%
Number of bonded IOBs 123 158 77%
Number of RAMB16s 18 20 90%