User Tag List

Страница 16 из 41 ПерваяПервая ... 121314151617181920 ... ПоследняяПоследняя
Показано с 151 по 160 из 404

Тема: Изучение с "нуля" VHDL и ПЛИС Xilinx

  1. #151

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Вот уже к Ewgeny7 скорее просьба или к тому, кто может глянуть
    Первый вариант работает, но - с иголками-провалами.
    Второй вариант - не работает, на выходе всегда 0.
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  2. #151
    С любовью к вам, Yandex.Direct
    Размещение рекламы на форуме способствует его дальнейшему развитию

  3. #152

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    У меня там: 000000000000
    Это нормально?
    Блин.... У тебя нет сетевой карты на компе?

    По поводу делителя - абстрагируйся от микросхем.
    Напиши код другого толка:
    1. Счетчик, считает до двух, далее сбрасывается. Итого имеем три состояния - 0,1,2.
    2. Сигнал выхода инвертируется с каждым сбросом счетчика.
    Вот и весь делитель.
    Код под спойлером.

    Скрытый текст


    Код:
    library IEEE; 
    use IEEE.std_logic_1164.all; 
    use IEEE.std_logic_unsigned.all;  
    
    entity test is
          port(
    	    clk 	: in std_logic;
            q_out 	: out std_logic);
    end test;
    
    architecture st of test is
    
    signal cnt 	: std_logic_vector (1 downto 0);
    signal q	: std_logic;
    
    begin
    
    process (clk)
    begin
    	if (falling_edge(clk)) then
    		if (cnt=2) then
    			cnt <= "00";
    			q <= not q;
    		else	
    			cnt <= cnt+1;
    		end if;	
    	end if;
    end process;    
    
    q_out <= q;
    
    end st;
    [свернуть]


    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  4. #153

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Ну посмотри что сейчас пишет
    Да у меня несколько другое пишет....
    Попробуй запустить программатор, не будет ли ругаться?
    На всякий случай - файл лицензии под твои нули - https://yadi.sk/d/xATd0004cMuCd
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  5. #154

    Регистрация
    24.05.2005
    Адрес
    г. Запорожье, Украина
    Сообщений
    992
    Спасибо Благодарностей отдано 
    571
    Спасибо Благодарностей получено 
    365
    Поблагодарили
    239 сообщений
    Mentioned
    2 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Ewgeny7 Посмотреть сообщение
    Напиши код другого толка:
    1. Счетчик, считает до двух, далее сбрасывается. Итого имеем три состояния - 0,1,2.
    2. Сигнал выхода инвертируется с каждым сбросом счетчика.
    Вот и весь делитель.
    Это делитель на 6 )

  6. #155

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от shurik-ua Посмотреть сообщение
    Это делитель на 6 )
    Ай блин, да....

    ---------- Post added at 14:35 ---------- Previous post was at 14:32 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    можно посмотреть, что он делает???
    Можно. Рисует аккуратные жоппы



    ---------- Post added at 14:36 ---------- Previous post was at 14:35 ----------

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Тогда появляется вот такое окошко
    Вроде как всё в порядке...
    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  7. #156

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Что-то судя по картинке, на ней в один период q_out укладывается шесть периодов clk, получается как бы делитель на 6.

    А я хочу сделать делитель на 3, так:


    6309885

    Скрытый текст

    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  8. #157

    Регистрация
    03.07.2005
    Адрес
    Санкт-Петербург
    Сообщений
    10,168
    Спасибо Благодарностей отдано 
    147
    Спасибо Благодарностей получено 
    84
    Поблагодарили
    55 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Эта должна работать, по крайней мере в железе она пашет
    Рисует аккуратный меандр, но деление идет на четыре, а не на три.

    ---------- Post added at 19:50 ---------- Previous post was at 19:48 ----------

    ScorpEvo ZS 1024 turbo+ CF-HDD/FDD/Mouse/SMUC 3.1/ProfROMse/NeoGS/ZC
    Speccy-2007 128/AY/TR-DOS

    Сайт с документацией к "Scorpion ZS 256"

  9. #158

    Регистрация
    13.12.2007
    Адрес
    Архангельск
    Сообщений
    2,189
    Записей в дневнике
    1
    Спасибо Благодарностей отдано 
    3
    Спасибо Благодарностей получено 
    13
    Поблагодарили
    13 сообщений
    Mentioned
    1 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Тогда я вообще ничего не понимаю, я даже на листике нарисовал, диаграмму как должно быть, и у меня ширина импульса равна одному такту, а ширина расстояния между импульсами равна двум периодам, то есть три периода укладывается. Не меандр, но частота будет поделена на 3. Значит я не правильно интерпретирую это в код. Последний код по сути ИЕ2 включённая как делитель на 3, только без входов R9 включённых по И.
    Нужно всё начинать сначала...
    попробуй "кривой и длинный код", от "зарубежных партнеров", что выше по "сцылке".
    Ты слыхал как грузится Flyshark ?! нет, совсем не тот, что на дискете...а Flyshark, тот самый блин Flyshark...тот ,что был когда то на кассете...
    zx spectrum 48 issuse 6a, Ленинград-1, zx spectum 128 +2 grey,Пентагон-128, ZXM-Phoenix 5.02 ( assembly)

  10. #159

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312
    Маленькое пожелание (напутствие):
    1) желательно не размещать "проекты" в каталогах в именах которых есть пробелы, русские буквы.
    2) исключить использование русских букв в vhdl (в частности в комментариях).
    3) Isim достаточно прост. Для того чтобы посмотреть что "получилось" достаточно "клыкать" мышой по "иконкам". "Самое интересное" это написать правильный testbench.
    4) начиная с какой-то версии ISE (толи 12 толи 13) isim не умеет "симулировать" спартан-3 в части microblaze. Так было задумано "Автором".
    ps: сам я "сижу" на вивадо + верилог (это доп. деятельность по работе).
    5) Основная "проблема" у xilinx - это то что он направлен на "топ" разработки (типа обработки изображения, связь, итд) и как следствие микросхемы выпускаются в BGA корпусе и до кучи "родной" программатор стоит "безумных денег" (300-500$)/ Однако есть аналоги за 3тр. (примерно). Или есть еще мини-юсб от дигилента.
    6) пункт 5) относится и к altere.
    7) если надо что-то специальное сотворить на плисе (типа очень маленькое или батарейное питание итд) есть ряд других фирм - но там свои "заморочки".

  11. #160

    Регистрация
    27.11.2013
    Адрес
    г. Санкт-Петербург
    Сообщений
    974
    Спасибо Благодарностей отдано 
    51
    Спасибо Благодарностей получено 
    197
    Поблагодарили
    164 сообщений
    Mentioned
    0 Post(s)
    Tagged
    0 Thread(s)

    По умолчанию

    Цитата Сообщение от Viktor2312 Посмотреть сообщение
    Тоже читали, знаем, пока ничего серьёзного не даелается, поэтому допускаю коментарии с русскими буквами.

    Ну не знаю, сейчас осваиваю неспеша MicroBlaze, так там написано что в моём кристалле XC3S200A-4VQG100C он занимает примерно 27%, ну пусть округлим 1/3 а корпус никакой не BGA, так что всё нормально и 32-разрядное ядро влазит и для периферии место останется.

    По поводу программатора, меня устроит самодельный кабель JTAG на двух микросхемах, умещающийся в корпусе LPT разъёма.
    1) однако Ваши примеры "установлены" в путях с пробелами.
    2) MicroBlaze (с вещественной арифметикой) + контроллер SDRAM + EPC + UART + I2C + gpio + BRAM + таймер + контроллер прерываний = влезло в спартан-3е 500 (подзавязку).. Это был самый "толстый" кристалл в "планарном" корпусе.
    3) "двух микросхемах, умещающийся в корпусе LPT разъёма" = опять же начиная с какой-то версии ISE (и / или новых видов плис) он уже не поддерживается. Из-за низкой скорости и не возможности работать при низком напряжении новых плис и др. (кажется такая была "официальная" версия).


    Device Utilization Summary [-]
    Logic Utilization Used Available Utilization Note(s)
    Number of Slice Flip Flops 5,357 9,312 57%
    Number of 4 input LUTs 8,719 9,312 93%
    Number of occupied Slices 4,652 4,656 99%
    Total Number of 4 input LUTs 8,976 9,312 96%
    Number of bonded IOBs 123 158 77%
    Number of RAMB16s 18 20 90%
    Последний раз редактировалось AlexG; 30.10.2014 в 10:36.

Страница 16 из 41 ПерваяПервая ... 121314151617181920 ... ПоследняяПоследняя

Информация о теме

Пользователи, просматривающие эту тему

Эту тему просматривают: 1 (пользователей: 0 , гостей: 1)

Похожие темы

  1. Ответов: 172
    Последнее: 28.11.2017, 13:28
  2. Микроконтроллеры STM32, "с нуля".
    от Viktor2312 в разделе Для начинающих
    Ответов: 279
    Последнее: 07.11.2016, 19:45
  3. Техническая литература: Языки описания аппаратуры "AHDL, VHDL и Verilog"
    от Viktor2312 в разделе Техническая литература
    Ответов: 1
    Последнее: 14.09.2014, 22:29
  4. Ответов: 71
    Последнее: 31.07.2014, 19:42

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •